资源列表

« 1 2 ... .84 .85 .86 .87 .88 4189.90 .91 .92 .93 .94 ... 4311 »

[VHDL编程divider_32bitdivby16bit

说明:verilog代码实现的32位除以16位无符号整数除法器,在别人8位除法器的基础上改进完成,32个时钟周期完成一次运算。-verilog code for 32-bit divided by 16-bit unsigned integer divider it s based on other guy s 8 bit divider verilog code. it need 32 clock cycles to complete a
<jiang> 在 2024-10-07 上传 | 大小:1024 | 下载:0

[VHDL编程fft

说明:基于NIOS II的fft程序,使用C语言编写实现快速傅里叶变换-FFT based on NIOS II, using C language to realize the fast Fourier transform
<康乐> 在 2024-10-07 上传 | 大小:1024 | 下载:0

[VHDL编程max197

说明:基于NIOS II的MAX197多通道AD的程序,使用C语言编写-Based on NIOS II MAX197 multi-channel AD procedures, using C language to write
<康乐> 在 2024-10-07 上传 | 大小:1024 | 下载:0

[VHDL编程keyscan

说明:基于NIOS II的按键操作程序,使用C语言编写,实现按键中断-Based on NIOS II keystrokes procedures, using C language to write, implement key interrupt
<康乐> 在 2024-10-07 上传 | 大小:1024 | 下载:0

[VHDL编程lcd

说明:基于NIOS II的LCD12864显示程序,使用C语言编写,调试通过。-Based on NIOS II LCD12864 display program, written using C language, debugging through.
<康乐> 在 2024-10-07 上传 | 大小:1024 | 下载:0

[VHDL编程FFT

说明:此代码实现了利用STM32实现256点FFT的功能,效果良好-This code implements the use of STM32 with 256-point FFT function to good effect
<lanjunjian> 在 2024-10-07 上传 | 大小:1024 | 下载:1

[VHDL编程VIDEO_AD_8V

说明:SC9766视频采集芯片,双通道,工作频率25M。-sc9766 verilog
<orca> 在 2024-10-07 上传 | 大小:1024 | 下载:0

[VHDL编程UART_RX

说明:232串口源程序 verilog实现,频率可调 接受部分-RS232 verilog
<orca> 在 2024-10-07 上传 | 大小:1024 | 下载:0

[VHDL编程UART_TR

说明:rs232串口通信 verilog代码 发射部分-RS232 verilog
<orca> 在 2024-10-07 上传 | 大小:1024 | 下载:0

[VHDL编程led_0_7

说明:八位数码管动态显示0-7,Quartus II VHDL设计语言-Eight digital tube dynamic display 0-7, Quartus II VHDL design language
<Any> 在 2024-10-07 上传 | 大小:1024 | 下载:0

[VHDL编程state_machine

说明:状态机控制步进电机,Quartus II VHDL设计语言-The state machine control stepping motor, Quartus II VHDL design language
<Any> 在 2024-10-07 上传 | 大小:1024 | 下载:0

[VHDL编程tmx

说明:LCD显示频率计,Quartus II VHDL设计语言-LCD display frequency meter, Quartus II VHDL design language
<Any> 在 2024-10-07 上传 | 大小:1024 | 下载:0
« 1 2 ... .84 .85 .86 .87 .88 4189.90 .91 .92 .93 .94 ... 4311 »

源码中国 www.ymcn.org