资源列表

« 1 2 ... .18 .19 .20 .21 .22 3823.24 .25 .26 .27 .28 ... 4311 »

[VHDL编程dds

说明:直接频率合成器,采用verilog hdl-Direct frequency synthesizer using verilog hdl
<江浩> 在 2024-10-14 上传 | 大小:1024 | 下载:0

[VHDL编程ADC_16bit

说明:模数变换器,采用verilog hdl编写-Analog-to-digital converters, used to prepare verilog hdl
<江浩> 在 2024-10-14 上传 | 大小:1024 | 下载:0

[VHDL编程VHDL1

说明:数字电子时钟中,秒和分要求要有60进制计数器和24进制计数器,此为60进制计数器-Digital electronic clock, the seconds and sub-band requires 60 counters and 24-ary counter, this counter is 60 hexadecimal
<张智焜> 在 2024-10-14 上传 | 大小:1024 | 下载:0

[VHDL编程TEST7

说明:这是一个键盘扫描的程序 没有去抖电路 但是还是很好用的 我测试过 很好用的-This is a keyboard scanning procedure did not go to shake or a good circuit but I tested used a very good use
<chen > 在 2024-10-14 上传 | 大小:1024 | 下载:0

[VHDL编程vhdl_case

说明:这是一个两个状态机的文件 都是很输入有关的 是我很我的同学的 希望对大家还是有点帮助的 -This is a two state machine documents are related to the importation of my classmates I hope all of you a little help
<chen > 在 2024-10-14 上传 | 大小:1024 | 下载:0

[VHDL编程xiaodou

说明:这是一个键盘的消抖电路的代码 有兴趣的可以看看 我做了很长时间的-This is a keyboard circuit code elimination Buffeting interested can look at me to do a long time
<chen > 在 2024-10-14 上传 | 大小:1024 | 下载:0

[VHDL编程TEST5

说明:这个是秒表的程序,很简单,不要取笑,多多交流了-This is a stopwatch procedures, is very simple, do not make fun of, a lot of exchange of
<chen > 在 2024-10-14 上传 | 大小:1024 | 下载:0

[VHDL编程3

说明:频率计设计 由多个部分组成 主要为了学习VHDL的同学提供 加油 加油 加油 加油 加油 加油 加油 -Cymometer designed by a number of major parts of the students to learn VHDL to provide refueling tanker refueling tanker refueling tanker refueling
<valgaryvane> 在 2024-10-14 上传 | 大小:1024 | 下载:0

[VHDL编程divider

说明:移位快速除法器,通过一次移4位试商实现快速除法功能,较普通减除法器有及其巨大的效率提升-Divider rapid shift by a shift to four test functions of rapid division, as compared with ordinary objects have less efficiency and its huge
<jh> 在 2024-10-14 上传 | 大小:1024 | 下载:0

[VHDL编程vhdlforlab

说明:vhdl语言程序的a244器件的程序 希望对大家的学习有所帮助-VHDL language procedures a244 device procedures for all of us want to be helpful to learn
<雪儿> 在 2024-10-14 上传 | 大小:1024 | 下载:0

[VHDL编程lab_6_1

说明:用VHDL描述的74ls163,模拟实现其时序逻辑功能-Using VHDL described 74ls163, simulation to achieve its sequential logic functions
<wxj> 在 2024-10-14 上传 | 大小:1024 | 下载:0

[VHDL编程delay

说明:用vhdl的状态机实现精确的1us的延时程序-VHDL state machine used to achieve precise 1us delay procedures
<yim> 在 2024-10-14 上传 | 大小:1024 | 下载:0
« 1 2 ... .18 .19 .20 .21 .22 3823.24 .25 .26 .27 .28 ... 4311 »

源码中国 www.ymcn.org