资源列表

« 1 2 ... .05 .06 .07 .08 .09 3910.11 .12 .13 .14 .15 ... 4311 »

[VHDL编程traffic_controller

说明:一款交通灯控制芯片的verilog源码,该源码通过仿真并在FPGA上运行成功,可以实现上位机操作控制交通灯的工作模式:两相模式和四相模式。上位机操作通过串口调试助手来完成。源码中与上位机的接口采用的是UART接口。-This is a verilog code for a kind of traffic light controller. The code was simulated and verificated on FPGA. W
<耿瑞> 在 2024-10-12 上传 | 大小:7168 | 下载:0

[VHDL编程uart_fifo

说明:一份带有FIFO缓存的UART源码,采用verilog编写,实现批量数据的传输,数据缓存量可以通过修改源码中的FIFO的深度来改变。-This is a UART with FIFO. The UART is programmed using verilog, it can transmit or receive batch data. The amount of data buffered can be changed by chan
<耿瑞> 在 2024-10-12 上传 | 大小:2048 | 下载:0

[VHDL编程ahb_system_generator_latest.tar

说明:AHB system generator. This file is a part of a system generator for AHB system. it is VHDL code for the AMBA arbiter.
<Uthman> 在 2024-10-12 上传 | 大小:267264 | 下载:0

[VHDL编程msk_modulation

说明:用verilog硬件描述语言写的msk调制程序,可以拿来参考一下-With verilog hardware descr iption language to write msk modulation process, you can refer
<yangdong> 在 2024-10-12 上传 | 大小:1024 | 下载:0

[VHDL编程two_ASK

说明:基于verilog的2ASK调制的程序,调试通过,有需要可以下载来参考-Based verilog of 2ASK modulation process, debugging through, there is a need to download reference
<yangdong> 在 2024-10-12 上传 | 大小:1024 | 下载:0

[VHDL编程QPSK1

说明:基于verilog的QPSK调制的程序,调试通过,有需要可以下载来参考-QPSK modulation-based verilog procedures, debugging through, there is a need to reference download
<yangdong> 在 2024-10-12 上传 | 大小:1024 | 下载:0

[VHDL编程QPSK_two1

说明:基于verilog的QPSK解调的程序,调试通过,有需要可以下载来参考-Based on the QPSK demodulator verilog procedures, debugging through, there is a need to download reference
<yangdong> 在 2024-10-12 上传 | 大小:1024 | 下载:0

[VHDL编程two_fsk1

说明:基于verilog的2fsk调制的程序,调试通过,有需要可以下载来参考 -Based verilog of 2fsk modulation process, debugging through, there is a need to download reference
<yangdong> 在 2024-10-12 上传 | 大小:1024 | 下载:0

[VHDL编程fsk_two1

说明:基于verilog的2fsk解调的程序,调试通过,有需要可以下载来参考-The 2fsk demodulation based verilog program, debugging through, there is a need to download reference
<yangdong> 在 2024-10-12 上传 | 大小:1024 | 下载:0

[VHDL编程MSK_top

说明:基于verilog的MSK调制的程序,调试通过,有需要可以下载来参考 -Based on the MSK modulation verilog program, debugging through, there is a need to reference download
<yangdong> 在 2024-10-12 上传 | 大小:5120 | 下载:0

[VHDL编程QAM16_M

说明:基于verilog的16qam调制的程序,调试通过,有需要可以下载来参考-Based verilog of 16qam modulation process, debugging through, there is a need to download reference
<yangdong> 在 2024-10-12 上传 | 大小:2048 | 下载:0

[VHDL编程OFDM_MODU

说明:基于verilog的16qam调制的程序,调试通过,有需要可以下载来参考,基于ISE软件-Based verilog of 16qam modulation process, debugging through, there is a need to download reference
<yangdong> 在 2024-10-12 上传 | 大小:2048 | 下载:0
« 1 2 ... .05 .06 .07 .08 .09 3910.11 .12 .13 .14 .15 ... 4311 »

源码中国 www.ymcn.org