资源列表

« 1 2 ... .74 .75 .76 .77 .78 4279.80 .81 .82 .83 .84 ... 4311 »

[VHDL编程fulladder.v

说明:自己写的full adder的verilog代码,请大家下载。如果有问题请评论给我-Write your own full adder verilog code, please download. If you have questions, please give me a comment
<liuyang> 在 2024-10-05 上传 | 大小:1024 | 下载:1

[VHDL编程Ecar

说明:基于FPGA的一个小游戏,在VGA上实现赛车游戏,开发版型号为ANVYL燧石,在Xilinx ISE环境下编译-An FPGA-based games, racing games on the realization VGA, Developer Edition model ANVYL flint, compiled under Xilinx ISE environment
<梅木每> 在 2024-10-05 上传 | 大小:6300672 | 下载:1

[VHDL编程Duoyewu1202

说明:16路视音频光端机源代码,带开关量,RS485,E1等多业务光端机-16 Optical audio source code, with the switch, RS485, E1, etc. Optical Multiservice
<周敏南> 在 2024-10-05 上传 | 大小:2803712 | 下载:1

[VHDL编程biss_master_ad36_1217

说明:biss-c编码器读代码,测试好用,时钟要求40m -VHDL code about biss-c slave part.
<kiker> 在 2024-10-05 上传 | 大小:2048 | 下载:1

[VHDL编程audio_fft_vga

说明:代码使用Verilog HDL实现了使用WM8731对音频进行采样,并且使用ALTERA FPGA实现了频谱计算(FFT),在VGA上显示频谱。-Achieved using the Verilog HDL code using WM8731 audio sampling, and use ALTERA FPGA to achieve the calculated spectrum (FFT), shows the spectrum o
<Yang Lv> 在 2024-10-05 上传 | 大小:38912 | 下载:1

[VHDL编程SobelEdgeDetection

说明:Sobel Edge Detection Algorithm in VHDL
<Angelos> 在 2024-10-05 上传 | 大小:492544 | 下载:1

[VHDL编程ad7606_control

说明:ad7606 fpga接口 程序 ,实现ad7606的串口 读写,数据缓存-ad7606 controller,writen by verilog.
<wewew> 在 2024-10-05 上传 | 大小:1024 | 下载:1

[VHDL编程amerikan

说明:This an hours Verilog-This is an hours Verilog
<fakher> 在 2024-10-05 上传 | 大小:2575360 | 下载:1

[VHDL编程pingpangqiu

说明:基于basys2的简单的乒乓球小游戏,通过ise13.4开发,使用语言VHDL,能够通过VGA在显示屏显示,能够实现双人对打,有计分功能。-Simple table tennis game, based on basys2 through ise13.4 development, using VHDL language, can through the VGA display shows, can achieve a double pl
<范子健> 在 2024-10-05 上传 | 大小:570368 | 下载:1

[VHDL编程dianziqin2--lcd

说明:基于Altera公司的开发板DE2--EP2C35F672C6,制作的电子琴,实现do、re、mi、fa、sol、la、xi、do八个音调,并可选择手动或自动播放,其中手动播放可实现存储与回放。并可实现液晶屏对音符的显示。-Development board based on Altera' s DE2- EP2C35F672C6, making organ, realize do, re, mi, fa, sol, la, xi
<shuaiwa> 在 2024-10-05 上传 | 大小:3143680 | 下载:1

[VHDL编程CoG

说明:Semi-functional FSM and ROM for Xilinx CPLD to drive ST7565R based off Digikey example
<Mac> 在 2024-10-05 上传 | 大小:1069056 | 下载:1

[VHDL编程LED

说明:led的verilog试验,在spandIII上的开发试验-led the verilog test on the development and testing spandIII
<gao zongyu> 在 2024-10-05 上传 | 大小:257024 | 下载:1
« 1 2 ... .74 .75 .76 .77 .78 4279.80 .81 .82 .83 .84 ... 4311 »

源码中国 www.ymcn.org