资源列表

« 1 2 ... .99 .00 .01 .02 .03 4204.05 .06 .07 .08 .09 ... 4311 »

[VHDL编程110detector_lab

说明:一个简单的探测110三位的探测器,用逻辑图和vhdl描述,包括实验报告和测试图。-a simple survey of 110 three detectors, and a logical map vhdl descr iption, including reports and experimental test plan.
<徐轶尊> 在 2024-10-06 上传 | 大小:143360 | 下载:0

[VHDL编程2460100Time

说明:24,60,100进制的计数器,还有数字时钟,欢迎下载哦~-24,60,100 229 of the counter, digital clock also welcome to download oh ~
<张春> 在 2024-10-06 上传 | 大小:2048 | 下载:0

[VHDL编程addersubtractor

说明:这是个vhdl编写的16bit的加减法器-This is vhdl prepared by the modified instruments used in the 16bit
<马永涛> 在 2024-10-06 上传 | 大小:1024 | 下载:0

[VHDL编程cpld1380

说明:一个很好的VHDL实现的功能模块程序,希望你可以用的上!-a good VHDL functional module procedures in the hope that you can use!
<王涛> 在 2024-10-06 上传 | 大小:1024 | 下载:0

[VHDL编程KEY12

说明:13键键盘的VHDL顶层文件,我是初学着,希望对初学者有用-13 key keyboard VHDL top-level document, I was a novice with the hope that useful for beginners
<相耀> 在 2024-10-06 上传 | 大小:1024 | 下载:0

[VHDL编程DEBOUNCE

说明:一个小程序,弹跳消除电路,可消除按健的毛刺干扰-a small procedure, bouncing elimination circuit, according to remove the burr-interference
<相耀> 在 2024-10-06 上传 | 大小:1024 | 下载:0

[VHDL编程USB245I

说明:USB245I的基于FPGA的VHDL语言的驱动程序,应该有用-USB245I based FPGA VHDL of the driver, should useful
<相耀> 在 2024-10-06 上传 | 大小:1024 | 下载:0

[VHDL编程SONGER

说明:基于FPGA的VHDL可以产生不同的音调,象音乐一样-based FPGA VHDL can produce different tones, like the same music
<相耀> 在 2024-10-06 上传 | 大小:1024 | 下载:0

[VHDL编程add_multi

说明:移位相加硬件乘法器,基于FPGA的VHDL语言编写的,含有全部文件-displacement add hardware multiplier, based on FPGA VHDL prepared, containing all the documents
<相耀> 在 2024-10-06 上传 | 大小:3072 | 下载:0

[VHDL编程vhdl2009

说明:并口通讯代码 并口通讯代码(调试通过) --该代码目前能实现单个字节的收发-Parallel communications code (debugging through)-- The code can now achieve a single byte of Transceivers
<倪德> 在 2024-10-06 上传 | 大小:1024 | 下载:0

[VHDL编程fifo_01

说明:8位相等比较器,比较8位数是否相等 -- 8-bit Identity Comparator -- uses 1993 std VHDL -- download from www.pld.com.cn & www.fpga.com.cn-eight other phase comparators, Comparing the same whether the median 8-- 8-bit Identity Compara
<罗兰> 在 2024-10-06 上传 | 大小:1024 | 下载:0

[VHDL编程decode_for_m68008

说明:-- M68008 Address Decoder -- Address decoder for the m68008 -- asbar must be 0 to enable any output -- csbar(0) : X"00000" to X"01FFF" -- csbar(1) : X"40000" to X"43FFF" -- csbar(2) : X"08000" to X"0AFFF" --
<罗兰> 在 2024-10-06 上传 | 大小:1024 | 下载:0
« 1 2 ... .99 .00 .01 .02 .03 4204.05 .06 .07 .08 .09 ... 4311 »

源码中国 www.ymcn.org