资源列表

« 1 2 ... .99 .00 .01 .02 .03 4104.05 .06 .07 .08 .09 ... 4311 »

[VHDL编程divider

说明:介绍了除法器的设计,采用verilogHDL语言,利用modelsim仿真验证,压缩包中包含了流程图-Introduced the divider design, using verilogHDL language, the use of ModelSim simulation, compressed package that contains a flow chart
<yaoyongshi> 在 2024-10-09 上传 | 大小:83968 | 下载:0

[VHDL编程multiple

说明:介绍了几种常用的乘法器的设计,carry_save_mult,ripple_carry_mult等,压缩包中包含结构流程图,用verilogHDL语言,采用modelsim仿真验证-This paper introduces some commonly used multiplier design, carry_save_mult, ripple_carry_mult such as, compressed package that c
<yaoyongshi> 在 2024-10-09 上传 | 大小:266240 | 下载:0

[VHDL编程couuseware_Verilog_QingHuaUniversity

说明:次文件乃清华大学的VerilogHDl语言的教学课件,电子专业搞硬件开发的可以参考参考!-Meeting papers were laid on Tsinghua University VerilogHDl language courseware, electronic hardware engage in professional development can refer to reference!
<秦惜惜> 在 2024-10-09 上传 | 大小:113664 | 下载:0

[VHDL编程sdram_controller

说明:sdram控制器,经过时序仿真,功能正确-SDRAM controller, after timing simulation, the correct function
<雷峰成> 在 2024-10-09 上传 | 大小:31744 | 下载:0

[VHDL编程source

说明:verilog设计范例,和王金明的verilog书可以配套使用。-Verilog design examples, and Wang Jinming matching of Verilog can be used.
<君懿> 在 2024-10-09 上传 | 大小:38912 | 下载:0

[VHDL编程add

说明:实现简单十六位加法器及测试程序 的verilog代码-The realization of a simple adder 16 and the test procedure Verilog code
<舒畅> 在 2024-10-09 上传 | 大小:3072 | 下载:0

[VHDL编程DEF

说明:一个简单的始终触发器的代码 另外包括测试验证程序和输入码数据-Always a simple code triggers the other, including test validation process and input data Code
<舒畅> 在 2024-10-09 上传 | 大小:1024 | 下载:0

[VHDL编程verilogcomputer

说明:哈尔滨理工大学高级工程师写的-Harbin University of Science and Technology, Senior Engineer written
<zjy> 在 2024-10-09 上传 | 大小:66560 | 下载:0

[VHDL编程count

说明:自己编制的计数器的verilog代码 希望能对大家有所帮助-Prepared their own counter Verilog code for all of us hope to be helpful
<舒畅> 在 2024-10-09 上传 | 大小:1024 | 下载:0

[VHDL编程SPI_Code(Verilog)

说明:SPI总线硬件描述语言Verilog下的实现,含主模式和从模式的实现,经过仿真验证,可作为一个单独的模块使用-SPI bus under the Verilog hardware descr iption language to achieve with the main mode and slave mode realization, through simulation, can be used as a separate modu
<高兵> 在 2024-10-09 上传 | 大小:5120 | 下载:0

[VHDL编程aaa

说明:这是一些小代码的集合 希望能对大家有所帮助-This is a collection of some small code for all of us hope to be helpful
<舒畅> 在 2024-10-09 上传 | 大小:4096 | 下载:0

[VHDL编程vga_box

说明:一个用verilog语言实现的包含:键盘扫描,led驱动、vga视频输出的例子。功能为用键盘控制一个方块的显示位置。需要有fpga板子支持。-A Verilog language used to achieve include: keyboard scanning, led driver, vga video output examples. Functions using the keyboard to control the dis
<Nee> 在 2024-10-09 上传 | 大小:2048 | 下载:0
« 1 2 ... .99 .00 .01 .02 .03 4104.05 .06 .07 .08 .09 ... 4311 »

源码中国 www.ymcn.org