资源列表

« 1 2 ... .38 .39 .40 .41 .42 3743.44 .45 .46 .47 .48 ... 4311 »

[VHDL编程OFDM_MODU

说明:基于verilog的16qam调制的程序,调试通过,有需要可以下载来参考,基于ISE软件-Based verilog of 16qam modulation process, debugging through, there is a need to download reference
<yangdong> 在 2024-10-16 上传 | 大小:2048 | 下载:0

[VHDL编程SyncFIFO

说明:dual-port synFIFO with programmable depth and length, course project, do not use for commercial.
<Taowu> 在 2024-10-16 上传 | 大小:2048 | 下载:0

[VHDL编程ads822

说明:自己用Verilog语言写的ADS822芯片的驱动,亲测可用。其他并行ADC芯片也可以用。-Verilog language used to write their own drivers ADS822 chips, pro-test available. Other parallel ADC chips can also be used.
<唐宏伟> 在 2024-10-16 上传 | 大小:2048 | 下载:1

[VHDL编程dual_ram

说明:在ISE中测试双端口RAM的源码,结合DDS可以通过Isim仿真直接测试RAM IP核的使用是否正常。-Dual-port RAM test source code in ISE, the binding DDS RAM IP core can be directly tested whether the use of the normal simulation.
<唐宏伟> 在 2024-10-16 上传 | 大小:2048 | 下载:0

[VHDL编程p3structural

说明:To Design 1-bit Full Adder using Verilog HDL for all logic gates with switch and gate level modelling.
<Jogi> 在 2024-10-16 上传 | 大小:2048 | 下载:0

[VHDL编程float_add_module

说明:verilog编写的32位浮点数加法器。Start_Sig 和Done_Sig 是控制信号,作为启动和反馈完成,A 和B 是32 位宽的操作数输入信号,Result 则是32 位宽的输出结果。-32bits float add module use Verilog HDL.
<刘磊> 在 2024-10-16 上传 | 大小:2048 | 下载:0

[VHDL编程AX301

说明:黑金FPGA助学版-tcl,包含开发板所有管脚。不需要再对板子管脚定义。AX301-Black Gold FPGA Student Edition-tcl, development board contains all the pins. No need for a board pin definitions. AX301
<songjunkai> 在 2024-10-16 上传 | 大小:2048 | 下载:0

[VHDL编程serial_r

说明:串口通信的接收代码,适合工程应用,也适合入门学习,个人调试无问题-Receive Code serial communication, for engineering applications, but also for learning portal, individual debug no problem
<吕攀攀> 在 2024-10-16 上传 | 大小:2048 | 下载:0

[VHDL编程HDMI_test

说明:基于Xilinx的FPGA的spartan3的HDMI测试功能刷屏显示。-Based on Xilinx s FPGA spartan 3e of the HDMI display refresh function tests.
<Luo Longheng> 在 2024-10-16 上传 | 大小:2048 | 下载:0

[VHDL编程yiweijicunqi

说明:设计了一个简单的移位寄存器,每接收到一位数据后寄存器自动向左移动一位。-To achieve a simple shift register, each received a data register automatically moved to the left one.
<曾涛> 在 2024-10-16 上传 | 大小:2048 | 下载:0

[VHDL编程pxp_tlmecrcgen

说明:利用CAST公司的IP写出tlmecrcgen的代码-use the case company IP code to write the code of tlmecregen
<王涛> 在 2024-10-16 上传 | 大小:2048 | 下载:0

[VHDL编程Serial_LED_Interface

说明:This module implements the logic for controlling port LED based on link status received switch-This module implements the logic for controlling port LED based on link status received switch
<Shirish Mukim> 在 2024-10-16 上传 | 大小:2048 | 下载:0
« 1 2 ... .38 .39 .40 .41 .42 3743.44 .45 .46 .47 .48 ... 4311 »

源码中国 www.ymcn.org