资源列表

« 1 2 ... .15 .16 .17 .18 .19 3920.21 .22 .23 .24 .25 ... 4311 »

[VHDL编程8051forxilinx

说明:8051forxilinx ip core-8051 IP CORE for xilinx spartan
<周家小牛> 在 2024-10-12 上传 | 大小:4524032 | 下载:0

[VHDL编程1-example_led_1

说明:Espier_III开发板LED示例程序,可作为参考-Espier_III development board source code
<周家小牛> 在 2024-10-12 上传 | 大小:82944 | 下载:0

[VHDL编程5-example_IR_1

说明:Espier_III开发板红外线通讯测试的实例程序-Espier_III development board example source code
<周家小牛> 在 2024-10-12 上传 | 大小:530432 | 下载:0

[VHDL编程xdlh_tlp_gen_32b

说明:详细的写出了PCIE一个TLP包头如何生成,采用synopsys公司的资料-use the synopsys INC. data write how to generate a TLP packet.
<王涛> 在 2024-10-12 上传 | 大小:5120 | 下载:0

[VHDL编程pxp_tlm

说明:采用CAST公司的IP核,写出了PCIE中tlm层的代码-use the case company IP code to write the code of tlm layer
<王涛> 在 2024-10-12 上传 | 大小:9216 | 下载:0

[VHDL编程CPLD

说明:能够实现DSP的上电复位,并具有硬狗监测,锁存和缓冲的功能。-DSP enables the power-on reset, and having a hard dog monitoring, latching and buffering functions.
<韩博> 在 2024-10-12 上传 | 大小:1024 | 下载:0

[VHDL编程pxp_tlmecrc

说明:利用CAST公司的IP核写出tlmecrc代码-use the case company IP code to write the code of tlmecrc
<王涛> 在 2024-10-12 上传 | 大小:1024 | 下载:0

[VHDL编程pxp_tlmecrcgen

说明:利用CAST公司的IP写出tlmecrcgen的代码-use the case company IP code to write the code of tlmecregen
<王涛> 在 2024-10-12 上传 | 大小:2048 | 下载:0

[VHDL编程pxp_tlmvc

说明:利用CAST公司的IP写出有关tlmvc通过的代码-use the case company IP code to write the code of tlmvc
<王涛> 在 2024-10-12 上传 | 大小:6144 | 下载:0

[VHDL编程OS_CFAR

说明:the package contains the implementation of order statistic CFAR processor
<sawaira> 在 2024-10-12 上传 | 大小:5120 | 下载:0

[VHDL编程cfar_fsm

说明:Cell averaging CFAR is implemented in this package
<sawaira> 在 2024-10-12 上传 | 大小:3072 | 下载:0

[VHDL编程LZ77_1

说明:Package include hardware implementation of Lz77 algorithm
<sawaira> 在 2024-10-12 上传 | 大小:206848 | 下载:0
« 1 2 ... .15 .16 .17 .18 .19 3920.21 .22 .23 .24 .25 ... 4311 »

源码中国 www.ymcn.org