资源列表

« 1 2 ... .60 .61 .62 .63 .64 4265.66 .67 .68 .69 .70 ... 4311 »

[VHDL编程Music_HLD3

说明:
<王萌> 在 2024-10-05 上传 | 大小:609280 | 下载:0

[VHDL编程usbsample

说明:基于fpga和xinlinx ise的usb端口vhdl程序,希望对你有所帮助!-VHDL program for USB port based fpga and xinlinx ise, wish help for you!
<王萌> 在 2024-10-05 上传 | 大小:983040 | 下载:0

[VHDL编程datarom

说明:该源码为几个正弦ROM,已经编译并通过,可以直接下载,不需要,内部含有正弦ROM表,还有ROM的宏模块-the source for several sine ROM, has been compiled and passed, can be directly downloaded, not internal ROM containing sine table, the Acer ROM module
<刘恒辉> 在 2024-10-05 上传 | 大小:243712 | 下载:0

[VHDL编程dianzizhong

说明:这是我在学习过程中编的数字钟的原程序,含各种时钟模块,以及计数器,累加器等,可以直接下载,已经编译通过!-This is my learning process in the middle of the 10-minute program, containing various clock module and the counter, accumulator, and can download, compile!
<刘恒辉> 在 2024-10-05 上传 | 大小:550912 | 下载:0

[VHDL编程PLW

说明:电子密码锁的vhdl编程实现,不知以前有没有人做过的。-electronic locks VHDL programming, I wonder if the past is not done.
<王卫> 在 2024-10-05 上传 | 大小:3072 | 下载:1

[VHDL编程LED点阵

说明:大屏幕led点阵显示的驱动时序。 使用vhdl语言描述。其中rom文件可以使用lpm_megcore自动生成。-big screen led to the dot matrix display driver timing. The use of VHDL descr iption language. Rom which documents can be automatically generated using lpm_megcore.
<王卫> 在 2024-10-05 上传 | 大小:4096 | 下载:0

[VHDL编程color_bar

说明:使用vhdl语言在altera公司的up3板上产生vga信号,里面有详细的解析和说明,是一个很好的教程。-use of the VHDL language ALTERA company's board up3 have vga signal containing a detailed analysis and explanation is a good guide.
<石坚> 在 2024-10-05 上传 | 大小:10240 | 下载:0

[VHDL编程razzle

说明: 使用vhdl语言在altera公司的up3板上产生vga信号,里面有详细的解析和说明,是一个很好的教程。和上一个文件razzle差不多,但是产生的效果不一样。-use of the VHDL language ALTERA company's board up3 have vga signal containing a detailed analysis and explanation is a good guide. And
<石坚> 在 2024-10-05 上传 | 大小:10240 | 下载:1

[VHDL编程自定义逻辑PWM的例子

说明:是一个用vhdl语言编写的pwm程序,可以方便地用来和nios连接,实现对nios的功能扩展。-is a VHDL language with the PWM procedures can be used to facilitate connections and nios, nios to achieve a functional extension.
<石坚> 在 2024-10-05 上传 | 大小:10240 | 下载:0

[VHDL编程Synopsys

说明:Synopsys 8051 IP core documentation.
<> 在 2024-10-05 上传 | 大小:1176576 | 下载:0

[VHDL编程ref-sdr-sdram-vhdl

说明:DDR控制器的VHDL源代码.采用FPGA实现DDR接口控制器,适用于Altera的FPGA,最高频率可到100M-DDR controller VHDL source code. Using FPGA DDR interface controller, applicable to Altera FPGA, the highest frequency available 100M
<张涛> 在 2024-10-05 上传 | 大小:776192 | 下载:0

[VHDL编程100个vhdl设计例子

说明:内附多路选择器,74系列芯片VHDL源码,加法器,FIR,比较器等大量例子,对初学VHDL语言很有好处。可用maxplus,quartus,synplicity等综合软件进行调试-contains multiple-choice, 74 chips VHDL source code, the adder, FIR, comparators, etc. are plenty of examples for beginners VHDL v
<杰轩> 在 2024-10-05 上传 | 大小:233472 | 下载:0
« 1 2 ... .60 .61 .62 .63 .64 4265.66 .67 .68 .69 .70 ... 4311 »

源码中国 www.ymcn.org