资源列表

« 1 2 ... .60 .61 .62 .63 .64 4265.66 .67 .68 .69 .70 ... 4311 »

[VHDL编程存储器

说明:一个简单的存储器,用于eda实验和电子技术综合实验(A simple Digital memory)
<左城梦> 在 2024-10-05 上传 | 大小:9216 | 下载:0

[VHDL编程DS18B20

说明:实现FPGA读取18B20温度,利用单线总线协议(Realizing FPGA to read 18B20 temperature)
<朽木生> 在 2024-10-05 上传 | 大小:93184 | 下载:0

[VHDL编程串口通讯

说明:利用FPGA 收发串口数据,可与上位机以及其它芯片通信(Using FPGA to send and receive serial data, it can communicate with the host computer and other chips.)
<朽木生> 在 2024-10-05 上传 | 大小:434176 | 下载:0

[VHDL编程LCD12864显示汉字

说明:利用LCD1864显示FPGA数据,可以作为底层驱动(Using LCD12864 to display the data of FPGA,you can make it be your driver;)
<朽木生> 在 2024-10-05 上传 | 大小:727040 | 下载:0

[VHDL编程蜂鸣器发声

说明:利用FPGA控制简单的蜂鸣器发声,可变频率(Using FPGA to send and receive serial data, it can communicate with the host computer and other chips.)
<朽木生> 在 2024-10-05 上传 | 大小:84992 | 下载:0

[VHDL编程流水灯

说明:利用FPGA控制简单LED灯的熄灭和点亮(Using FPGA to control the extinction and lighting of a simple LED lamp)
<朽木生> 在 2024-10-05 上传 | 大小:308224 | 下载:0

[VHDL编程FPGA设计指导准则

说明:FPGA设计指导准则 (FPGA design guidelines)
<gemini_amis> 在 2024-10-05 上传 | 大小:2973696 | 下载:0

[VHDL编程01_led_test

说明:基于FPGA实现流水灯功能,LED等间隔亮起熄灭,(the use of digital FPGA design flow to achieve the runing water lights function)
<董进宇> 在 2024-10-05 上传 | 大小:322560 | 下载:0

[VHDL编程spi final

说明:verilog 实现spi 串口 通过FPGA板可以看出数据传输(verilog spi can be demonstrated with FPGA)
<`m> 在 2024-10-05 上传 | 大小:4030464 | 下载:0

[VHDL编程分频器

说明:对频率实现分频,达到一种对外部的一种分频管理(realization of frequency division)
<MATLAB难啊> 在 2024-10-05 上传 | 大小:8192 | 下载:0

[VHDL编程FIR设计实现sgh

说明:FIR滤波FPGA实现 ,已在仿真软件上验证实现,不是IP核,不是ip核。(FIR filter FPGA implementation, has been verified in the simulation software, not IP core, not IP core.)
<韩冻少> 在 2024-10-05 上传 | 大小:25600 | 下载:0

[VHDL编程sd_test

说明:基于FPGA的SD卡初始化、读写,实现向FPGA写入数据并读取(SD card initialization, reading and writing, with FPGA based)
<芬达sy> 在 2024-10-05 上传 | 大小:2189312 | 下载:0
« 1 2 ... .60 .61 .62 .63 .64 4265.66 .67 .68 .69 .70 ... 4311 »

源码中国 www.ymcn.org