资源列表

« 1 2 ... .90 .91 .92 .93 .94 4295.96 .97 .98 .99 .00 ... 4311 »

[VHDL编程cic10_sec5

说明:抽取因子可调,四级梳状滤波器,在数字下变频中会使用到(The decimation factor is adjustable, and the four stage comb filter is used in digital down conversion)
<helimpopo > 在 2024-07-02 上传 | 大小:1024 | 下载:0

[VHDL编程XilinxFree.lic

说明:这是许可在Xilinx Vivado 2015利用免费的IP核生成(This is the license to utilize free IP core generation in Xilinx Vivado 2015)
<haider87 > 在 2024-07-02 上传 | 大小:1024 | 下载:0

[VHDL编程add

说明:一个用quartus原理图输入的全加器,(A full adder with quartus schematic input,)
<zhangning194 > 在 2024-07-02 上传 | 大小:1024 | 下载:0

[VHDL编程uart

说明:实现与电脑端串行数据发送与接收,波特率为9600(Realize serial data sending and receiving with the computer terminal)
<hurricanhup > 在 2024-07-02 上传 | 大小:1024 | 下载:0

[VHDL编程FM

说明:使用Verilog HDL ,FM调制信号。(Using Verilog, HDL, and FM modulation signals.)
<LCG007 > 在 2024-07-02 上传 | 大小:1024 | 下载:1

[VHDL编程float_2_int.v

说明:最全的,最简单,32位浮点数转整数,32位整数转浮点数,直接可以移植,已经测试过好用。(The most complete, the simplest, 32 bit floating-point integer, 32 integer floating point number, can be directly transplanted, has been tested, easy to use.)
<那里的星空 > 在 2024-07-02 上传 | 大小:1024 | 下载:0

[VHDL编程multiplier_TB

说明:multiplier testbench
<happywater12 > 在 2024-07-02 上传 | 大小:1024 | 下载:0

[VHDL编程fulladder_TB

说明:fulladder test bench
<happywater12 > 在 2024-07-02 上传 | 大小:1024 | 下载:0

[VHDL编程adder_sub_TB

说明:adder/subtractor testbench
<happywater12 > 在 2024-07-02 上传 | 大小:1024 | 下载:0

[VHDL编程ad7606

说明:AD7606采集代码,用于verilog 驱动 AD7606 adc SPI 串口方式(AD7606 acquisition code, used for Verilog drive AD7606 ADC SPI serial mode)
<烦恼的按钮 > 在 2024-07-02 上传 | 大小:1024 | 下载:0

[VHDL编程emif

说明:fpga emif 通信接口软件设计基于fpga(FPGA EMIF communication interface software design based on FPGA)
<nbswsin > 在 2024-07-02 上传 | 大小:1024 | 下载:0

[VHDL编程SPI_Master

说明:spi 主发送模块,功能已测试没有问题,各个信号已标注(SPI main send module, the function has been tested, no problem, each signal has been marked)
<lss092 > 在 2024-07-02 上传 | 大小:1024 | 下载:0
« 1 2 ... .90 .91 .92 .93 .94 4295.96 .97 .98 .99 .00 ... 4311 »

源码中国 www.ymcn.org