资源列表

« 1 2 ... .95 .96 .97 .98 .99 4000.01 .02 .03 .04 .05 ... 4311 »

[VHDL编程XuLie

说明:序列检测机,可检测8位数字序列,米勒型状态机-Sequence detector can detect 8-digit sequence, Miller-type state machine
<赵嘉楠> 在 2024-10-11 上传 | 大小:3127296 | 下载:0

[VHDL编程piccolo

说明:piccolo 密码算法的Verilog实现-piccolo algorithm
<朴巍> 在 2024-10-11 上传 | 大小:2048 | 下载:0

[VHDL编程mux21

说明:二选一选择器的Verilog的实现。二输入,一片选段。-realization of mux21
<朴巍> 在 2024-10-11 上传 | 大小:28672 | 下载:0

[VHDL编程mux31

说明:三选一选择器的Verilog实现。三个输入端,一个片选端。-realization of mux31 using verilog.
<朴巍> 在 2024-10-11 上传 | 大小:30720 | 下载:0

[VHDL编程seller_moore

说明:用Verilog实现十六进制计数器。内含有整个完整工程。包括tb文件。-realiaztion of timer16 using verilog
<朴巍> 在 2024-10-11 上传 | 大小:41984 | 下载:0

[VHDL编程timer16

说明:十六进制计数器的的Verilog实现。内有整个工程,包括tb文件。仿真可通过-realizaiton of timer16
<朴巍> 在 2024-10-11 上传 | 大小:36864 | 下载:0

[VHDL编程uart_rx

说明:串口接收模块代码,根据设定的串口波特率,可以正确接收串口的数据-Serial receive module code, according to the set baud rate, serial data can be correctly received
<Kevin> 在 2024-10-11 上传 | 大小:1024 | 下载:0

[VHDL编程practica1

说明: tester.vhd library IEEE use IEEE.STD_LOGIC_1164.all use IEEE.STD_LOGIC_ARITH.all use IEEE.STD_LOGIC_UNSIGNED.all LIBRARY lpm USE lpm.lpm_components.ALL entity practica1 is port ( RE
<pablo> 在 2024-10-11 上传 | 大小:1024 | 下载:0

[VHDL编程KEY2_TEST

说明:Altera firmware examples for Cyclone IV
<TreyWilliams> 在 2024-10-11 上传 | 大小:2948096 | 下载:0

[VHDL编程KEY3_TEST

说明:Atera Cyclone IV examples for quartus
<TreyWilliams> 在 2024-10-11 上传 | 大小:78848 | 下载:0

[VHDL编程LED_test

说明:Altera Cyclne IV example for Quartus
<TreyWilliams> 在 2024-10-11 上传 | 大小:3096576 | 下载:0

[VHDL编程C4E6-K SCHv2

说明:Altera board shhematics
<TreyWilliams> 在 2024-10-11 上传 | 大小:78848 | 下载:0
« 1 2 ... .95 .96 .97 .98 .99 4000.01 .02 .03 .04 .05 ... 4311 »

源码中国 www.ymcn.org