资源列表

« 1 2 ... .57 .58 .59 .60 .61 4162.63 .64 .65 .66 .67 ... 4311 »

[VHDL编程xapp1247-multiboot-spi

说明:fpga的multiboot ref desgin(fpga multiboot ref desgin)
<wangzw > 在 2024-10-07 上传 | 大小:3428352 | 下载:0

[VHDL编程基于FPGA的彩色符号设计

说明:a、设计可显示横彩条和纵彩条的VGA彩条信号; b、设计可显示英语字母的VGA彩条信号; c、设计可显示移动彩色斑点的VGA彩条信号; d、设计可实现手动切换a、b、c三个功能.(The design can display VGA color color and color of the longitudinal cross signal. The design can display the VGA color signal
<ciuciuciu > 在 2024-10-07 上传 | 大小:435200 | 下载:0

[VHDL编程introtutorial

说明:An example to learn how to use Quartus II
<zhangxyrain > 在 2024-10-07 上传 | 大小:7318528 | 下载:0

[VHDL编程QPSK调制解调器的设计及FPGA实现

说明:QPSK FPGA的实现,QPSK调制解调器的设计及FPGA实现(Design and implementation of QPSK modem based on FPGA)
<muweng > 在 2024-10-07 上传 | 大小:6838272 | 下载:0

[VHDL编程second

说明:等精度测试,待测频率超过100就停止产生脉冲(Such as precision testing, more than 100 stopped produce pulse frequency under test)
<奈何一梦 > 在 2024-10-07 上传 | 大小:1024 | 下载:0

[VHDL编程Mealy_TrafficLight

说明:基于FPGA交通控制器的Mealy状态机实现(Mealy state machine controller based on FPGA traffic)
<9901tzh > 在 2024-10-07 上传 | 大小:265216 | 下载:0

[VHDL编程pseudo_random

说明:基于vivado Verilog的伪随机数发生器,采用LFSR算法,并对其进行了升级,使用反馈级联的思想,从最大周期为2^n提升为原来的3-5倍(Based on vivado Verilog pseudo random number generator, using LFSR algorithm, and upgrade it, using the idea of feedback cascade, from the maximum
<9901tzh > 在 2024-10-07 上传 | 大小:1889280 | 下载:0

[VHDL编程systemc-2.2.0

说明:System C 2.2.0 developers file
<alexandr210186 > 在 2024-10-07 上传 | 大小:12129280 | 下载:0

[VHDL编程新建 WinRAR ZIP 压缩文件

说明:实现跨时钟域数据传输的异步fifo,和i2c总线控制器。(Asynchronous FIFO and I2C bus controller for cross clock domain data transmission.)
<打好额速度 > 在 2024-10-07 上传 | 大小:296960 | 下载:0

[VHDL编程5.c

说明:; for 16-bit app support [386Enh] woafont=dosapp.fon EGA80WOA.FON=EGA80WOA.FON EGA40WOA.FON=EGA40WOA.FON CGA80WOA.FON=CGA80WOA.FON CGA40WOA.FON=CGA40WOA.FON
<fov120 > 在 2024-10-07 上传 | 大小:2048 | 下载:0

[VHDL编程uart

说明:用Verilog实现FPGA的uart的串行通信功能,并附有testbench(The serial communication function of FPGA of UART is realized with Verilog, and Testbench is attached)
<怪了个乖 > 在 2024-10-07 上传 | 大小:308224 | 下载:0

[VHDL编程CPU_16bit

说明:一个五段流水的16位cpu vhdl源码,可综合也可仿真(A five section of the 16 bit CPU VHDL source code, can be integrated can also be simulated)
<sunrihui > 在 2024-10-07 上传 | 大小:3410944 | 下载:0
« 1 2 ... .57 .58 .59 .60 .61 4162.63 .64 .65 .66 .67 ... 4311 »

源码中国 www.ymcn.org