资源列表

« 1 2 ... .63 .64 .65 .66 .67 4168.69 .70 .71 .72 .73 ... 4311 »

[VHDL编程mc8051V1.4

说明:8051硬核源码(VHDL),具有全部VHDL代码、测试环境以及说明文档、综合脚本等完整的开发、验证环境,源代码通过ASIC投片,并得到不断完善-8,051 hard-core source code (VHDL), with all VHDL code, testing and documentation, environment, Comprehensive integrity of the scr ipt, such as dev
<钟方> 在 2024-10-07 上传 | 大小:530432 | 下载:0

[VHDL编程8051_nios_vhdl

说明:8051 MCU在nois平台上的实现代码(VHDL),出自Altera公司,经过严格测试核验证-nois 8051 MCU platform in the realization of code (VHDL) from Altera Corporation, after strict verification of nuclear test
<钟方> 在 2024-10-07 上传 | 大小:102400 | 下载:0

[VHDL编程8051_nios_doc

说明:8051 MCU在nois平台上实现的说明文档,讲解非常详细,对于设计很有帮助,出自Altera公司。-nois 8051 MCU platform in the realization of documentation to explain in great detail, useful for the design, from Altera Corporation.
<钟方> 在 2024-10-07 上传 | 大小:134144 | 下载:0

[VHDL编程pic16f84

说明:pic MCU的HDL语言代码,实现器件是Xilinx FPGA,经过验证和测试-pic MCU HDL code, is the realization of Xilinx FPGA devices. After testing and validation
<钟方> 在 2024-10-07 上传 | 大小:48128 | 下载:0

[VHDL编程DDR_SDRAM_Controller

说明:DDR RAM控制器的VHDL源码,实现平台是Lattice FPGA,功能验证通过-DDR RAM controller VHDL source code, achieving the platform of Lattice FPGA, functional verification through
<钟方> 在 2024-10-07 上传 | 大小:677888 | 下载:0

[VHDL编程xapp299

说明:XAPP299 version 1.0 reference design files
<王野> 在 2024-10-07 上传 | 大小:105472 | 下载:0

[VHDL编程VHDL-six

说明:用VHDL语言实现六分频,并且已经通过编译和仿真。由此可举一反三,实现任意偶数次分频。-VHDL six minutes frequency, and has been through translation, and simulation. From this we can draw a number at random dual frequency.
<philohb> 在 2024-10-07 上传 | 大小:25600 | 下载:0

[VHDL编程TAXI_fee_counter

说明:在EDA平台上用单片CPLD器件构成该数字系统的设计思想和实现过程。-in EDA platform with a single CPLD constitute the digital system design and implementation process.
<laiweidong> 在 2024-10-07 上传 | 大小:2048 | 下载:0

[VHDL编程ocidec3_IDE_controller

说明:硬盘控制器VHDL源代码,实现了PIO和DMA方式,请支持-hard disk controller VHDL source code and realized the PIO and DMA mode, please support
<> 在 2024-10-07 上传 | 大小:38912 | 下载:0

[VHDL编程RS(32to28)encoderanddecoder

说明:RS(32,28) encoder and decoder VHDL-RS (32,28) encoder and decoder VHDL
<王文> 在 2024-10-07 上传 | 大小:76800 | 下载:1

[VHDL编程ISE8.1_loopback

说明:硬件平台为Xilinx Spartan3e,编译软件为ISE8.1,实现了九针com口通信,键盘输入回显,switch控制LED功能。-hardware platform for Xilinx Spartan3e, compile software ISE8.1. achieved nine needles com port communication, a return to the keyboard input, LED contr
<Lincker> 在 2024-10-07 上传 | 大小:23552 | 下载:0

[VHDL编程cache

说明:原创VERILOG HDL 实现CACHE的操作,有需要请下载-original verilog HDL achieve CACHE operation, the need to download
<MingCheng> 在 2024-10-07 上传 | 大小:4096 | 下载:0
« 1 2 ... .63 .64 .65 .66 .67 4168.69 .70 .71 .72 .73 ... 4311 »

源码中国 www.ymcn.org