资源列表

« 1 2 ... .88 .89 .90 .91 .92 4093.94 .95 .96 .97 .98 ... 4311 »

[VHDL编程clock

说明:利用xilin实验仪完成一个可以计时的数字时钟,显示范围位00.00~59.59,且该时钟应该具有暂停计时,清零灯功能。-Xilin completed experiment using a digital time clock, indicating the scope of digital 00.00 ~ 59.59, and the clock should have suspended time, Clear Lantern f
<haolj> 在 2024-10-09 上传 | 大小:80896 | 下载:0

[VHDL编程pwm1

说明:xilinx设计并完成一个10位的D/F转换器,输入的数字量分别由按键K1,K2来调节,其中K1完成加1功能,而K2则完成减1功能,并把转换的结构西哦女冠到BUZZ蜂鸣器上。-Xilinx design and complete a 10-bit D/F converter, the digital input from the keys K1, K2 to regulate, including the completion of p
<haolj> 在 2024-10-09 上传 | 大小:79872 | 下载:0

[VHDL编程buzz

说明:利用xilinx实现一个简易的电子琴。简谱中的音名与频率一一对应。-Xilinx to achieve the use of a simple flower. Numbered musical notation of the musical alphabet and frequency-one correspondence.
<haolj> 在 2024-10-09 上传 | 大小:125952 | 下载:0

[VHDL编程VHDLserial

说明:UART参考设计带缓存用于Xinlix用于FPGA-UART reference design with cache for Xinlix for FPGA
<sd> 在 2024-10-09 上传 | 大小:279552 | 下载:0

[VHDL编程SDcardcode

说明:基于c语言上的SD卡读写源程序,希望对大家有所帮助~-Based on c language source SD card reader, I hope all of you to help ~
<王一木> 在 2024-10-09 上传 | 大小:20480 | 下载:0

[VHDL编程boxing

说明:信号发生器由波形选择开关控制波形的输出, 分别能输出正弦波、方波和三角波三种波形, 波形的周期为2秒-Signal generator by the waveform selector switch to control the output waveform, respectively, to the output sine wave, square and triangular waveforms in three waves, w
<王军> 在 2024-10-09 上传 | 大小:1024 | 下载:0

[VHDL编程Lab1-INTRO

说明:vcs tutorial lab1,very good-vcs tutorial lab1, very good
<王一木> 在 2024-10-09 上传 | 大小:6144 | 下载:0

[VHDL编程Lab2-PLI

说明:vcs tutorial Lab2-PLI verygood -vcs tutorial Lab2-PLI verygood
<王一木> 在 2024-10-09 上传 | 大小:4096 | 下载:0

[VHDL编程zzs4

说明:用verilog实现的电子日历程序,在Quartus II上编译通过并成功实现-Using Verilog to achieve the electronic calendar program, compiled in the Quartus II and successfully achieved through
<张兵> 在 2024-10-09 上传 | 大小:662528 | 下载:0

[VHDL编程jishiqi

说明:用verilog实现的记时器程序,在Quartus II上编译通过并成功运行-Realized by Verilog program in mind when, in the Quartus II compiled and successfully run through
<> 在 2024-10-09 上传 | 大小:2221056 | 下载:0

[VHDL编程qiangdaqi

说明:用verilog实现的抢答器程序,在Quartus II上编译通过并成功运行-Answer realized by Verilog program, compiled in the Quartus II and successfully run through
<> 在 2024-10-09 上传 | 大小:190464 | 下载:0

[VHDL编程ALU

说明:用verilog编写的32位alu部件,用于cpu制作-Prepared using Verilog 32 alu parts, used cpu production
<胡豫陇> 在 2024-10-09 上传 | 大小:3072 | 下载:0
« 1 2 ... .88 .89 .90 .91 .92 4093.94 .95 .96 .97 .98 ... 4311 »

源码中国 www.ymcn.org