资源列表

« 1 2 ... .01 .02 .03 .04 .05 4106.07 .08 .09 .10 .11 ... 4311 »

[VHDL编程CY7C68013固件程序 FPGA测试Verilog程序

说明:CY7C68013固件程序 FPGA测试Verilog程序(CY7C68013 firmware, FPGA test, Verilog)
<regan_wang > 在 2024-10-09 上传 | 大小:198656 | 下载:0

[VHDL编程Avgt_jesd204b_ad9250_ed

说明:基于avgt开发板的jesd204b源代码,需要安装Quartus软件(Avgt development board based on the jesd204b source code)
<regan_wang > 在 2024-10-09 上传 | 大小:8024064 | 下载:0

[VHDL编程ezusb_io_latest.tar

说明:CY7C68013实现FPGA控制的USB接口通信,已通过测试(CY7C68013 FPGA control to achieve the USB interface communication, has passed the test)
<regan_wang > 在 2024-10-09 上传 | 大小:3072 | 下载:0

[VHDL编程ds18b20l

说明:FPGA读DS18B20温度源代码,其中包含一些基础性的操作(FPGA read the data of DS18B20)
<可可西里0932 > 在 2024-10-09 上传 | 大小:7221248 | 下载:0

[VHDL编程DDS_display

说明:自己写的FIR八戒低通滤波器,仅供参考(Write your own FIR eight quit low-pass filter, for reference only)
<laobi_verilog > 在 2024-10-09 上传 | 大小:6893568 | 下载:0

[VHDL编程UART_E6

说明:用于测试FPGA串口接收,带singelTap。便于观测。(Used to test the FPGA serial port reception, with singelTap. Convenient observation.)
<lll12345 > 在 2024-10-09 上传 | 大小:6618112 | 下载:0

[VHDL编程P12_CRC

说明:VHDL code for CRC algorithm
<parisanajafi > 在 2024-10-09 上传 | 大小:3985408 | 下载:0

[VHDL编程seerrors

说明:jgfjghj不求上进鬼画符丰下上夺二一睛童话 二上热土术地(fyrytytrytryrtyrtgfhgfjfukrywetyjuurdhdsgdhgtrhyrtdyh)
<1efsdf > 在 2024-10-09 上传 | 大小:30720 | 下载:0

[VHDL编程Verilog典型电路设计-华为

说明:华为 verilog教程 典型电路设计 verilog语言 FPGA(FPGA Typical circuit design)
<headachebill > 在 2024-10-09 上传 | 大小:269312 | 下载:0

[VHDL编程华为_FPGA设计高级技巧Xilinx篇

说明:华为FPGA设计高级技巧Xilinx篇 华为FPGA设计 verilog语言(HuaWei FPGA Advanced design techniques Xilinx)
<headachebill > 在 2024-10-09 上传 | 大小:1988608 | 下载:0

[VHDL编程Vivado 简明教程

说明:vivado简明教程 vivado入门教程 vivado简易教程(vivado API Tutorial Vivado)
<headachebill > 在 2024-10-09 上传 | 大小:4786176 | 下载:0

[VHDL编程华为_FPGA设计流程指南

说明:华为_FPGA设计流程指南 FPGA设计入门教程(Huawei FPGA Design process guide)
<headachebill > 在 2024-10-09 上传 | 大小:181248 | 下载:0
« 1 2 ... .01 .02 .03 .04 .05 4106.07 .08 .09 .10 .11 ... 4311 »

源码中国 www.ymcn.org