资源列表

« 1 2 ... .31 .32 .33 .34 .35 4236.37 .38 .39 .40 .41 ... 4311 »

[VHDL编程78_alu_input

说明:vhdl源程序,在quartus环境下测试,仿真。已经过测试。-VHDL source, the Quartus environment testing, simulation. Has been tested.
<tom> 在 2024-10-06 上传 | 大小:2048 | 下载:0

[VHDL编程key_scan1

说明:用verilog实现的四乘四键盘程序,在Quartus II上编译通过并成功-achieved using Verilog 4 x 4 keyboard procedures, the Quartus II compiler on the adoption and successful
<大圣> 在 2024-10-06 上传 | 大小:594944 | 下载:0

[VHDL编程ProgramText

说明:we will use the Spartan3 XC3S200 FPGA to design a specified counter using the language VHDL.-we will use the cables Spartan3 FPGA design to a specified counter using the VHDL language.
<fei> 在 2024-10-06 上传 | 大小:12288 | 下载:0

[VHDL编程pcm_verilog

说明:这是PCM电话传输系统模型的verilog程序,是一个modlesim开发环境下的工程文件,并有波形仿真结果.-PCM telephone transmission system Verilog model of procedures is a modlesim development environment under the project documents, and a waveform simulation results.
<way> 在 2024-10-06 上传 | 大小:47104 | 下载:0

[VHDL编程hdb3_VHDL

说明:hdb3 using language VHDL-Indoor using VHDL language
<王锋> 在 2024-10-06 上传 | 大小:54272 | 下载:0

[VHDL编程signalgenerater

说明:一个简单的多种信号的发生器 包括正玄,锯齿,阶梯等,使用时用quartus 4.0以上版本打开-a simple multiple signal generator including Shogen, sawtooth, the ladder, when used with the above version 4.0 Quartus open
<王磊> 在 2024-10-06 上传 | 大小:366592 | 下载:0

[VHDL编程2Dfft

说明:VHDL 关于2DFFT设计程序 u scinode1 ∼ scinode9.vhd: Every SCI node RTL vhdl code. The details can be seen in the following section. u 2dfft.vhd: The top module includes these scinodes and form a 3x3 SCI Torus networ
<李成> 在 2024-10-06 上传 | 大小:783360 | 下载:0

[VHDL编程ceshixiangliang

说明:vhdl 测试向量含测试向量(Test Bench)和波形产生:VHDL实例---相应加法器的测试向量(test bench).txt-VHDL test vector containing test vector (Test Bench) and Waveform Generator : VHDL examples--- corresponding Adder test vector (test bench). Txt
<陈丽> 在 2024-10-06 上传 | 大小:11264 | 下载:0

[VHDL编程nios22_demo

说明:nios实例源码 相关代码-the nios instance source related code
<郭昊东> 在 2024-10-06 上传 | 大小:177152 | 下载:0

[VHDL编程musicvhdl

说明:一个使用VHDL编写的音乐芯片的程序有很好的使用价值-use VHDL to prepare a music chip procedures are good value
<李龙文> 在 2024-10-06 上传 | 大小:319488 | 下载:0

[VHDL编程12864lcd_vhdl

说明:12864图形点阵液晶驱动vhdl程序,用ise综合-12864 graphics dot-matrix LCD driver VHDL program, and ideally integrated
<赵晗> 在 2024-10-06 上传 | 大小:9423872 | 下载:0

[VHDL编程generic_fifos

说明:
<> 在 2024-10-06 上传 | 大小:26624 | 下载:0
« 1 2 ... .31 .32 .33 .34 .35 4236.37 .38 .39 .40 .41 ... 4311 »

源码中国 www.ymcn.org