资源列表

« 1 2 ... .73 .74 .75 .76 .77 4178.79 .80 .81 .82 .83 ... 4311 »

[VHDL编程MSP430UART

说明:这是MSP430串口编程方面的资料,希望对大家有用。-This is the MSP430 serial programming the information, we hope that the right useful.
<kite_comx> 在 2024-10-07 上传 | 大小:26624 | 下载:0

[VHDL编程downcnt

说明:倒数计数器,用于各种乘法器的应用,或者其他应用当中-countdown counter, the multiplier used for various applications, or other applications which
<朱盼> 在 2024-10-07 上传 | 大小:1024 | 下载:0

[VHDL编程adder215

说明:有关于加法器的vhdl编程,是用赛灵思的fpga实现的,可以在赛灵思网站上找到更具体的说明-Adder on the vhdl program is the use of the Xilinx fpga achieve. Xilinx website can be found on more specific details of their
<zoujinzhi> 在 2024-10-07 上传 | 大小:8192 | 下载:0

[VHDL编程SimpleSpi

说明:master spi的源代码(verilog),包括文档,测试程序-master spi the source code (verilog), including documentation, testing procedures
<wood> 在 2024-10-07 上传 | 大小:180224 | 下载:0

[VHDL编程MyClockTest

说明:这是我电子线路测试的作业,在FPGA板上实现数字钟,(Max2环境)采用VHDL语言编写,非常适合初学者。具备24小时计时,校时,低高音整点报时,定时和多重功能选择的功能。-This is my test of electronic circuits operating at the FPGA board digital clock (Max2 Environment) using VHDL language, very suitabl
<blacksun> 在 2024-10-07 上传 | 大小:506880 | 下载:0

[VHDL编程DSPBuilderFIR.files

说明:在信息信号处理过程中,如对信号的过滤、检测、预测等,都要使用滤波器,数字滤波器是数字信号处理(DSP,DigitalSignalProcessing)中使用最广泛的一种器件。常用的滤波器有无限长单位脉冲响应(ⅡR)滤波器和有限长单位脉冲响应(FIR)滤波器两种[1],其中,FIR滤波器能提供理想的线性相位响应,在整个频带上获得常数群时延从而得到零失真输出信号,同时它可以采用十分简单的算法实现,这两个优点使FIR滤波器成为明智的设计工程师
<yaoming> 在 2024-10-07 上传 | 大小:96256 | 下载:0

[VHDL编程s3esk_picoblaze_nor_flash_programmer

说明:利用picoblaze微控制器对Intel flash进行控制,实现了flash的读写,擦除等基本操作-picoblaze Microcontrollers use of Intel flash control, realized the flash write, erase and other basic operation
<wjj> 在 2024-10-07 上传 | 大小:1259520 | 下载:0

[VHDL编程s3esk_picoblaze_dac_control

说明:环境ISE,用picoblaze微控制器实现了对DAC控制,完成DA转换功能-environment ISE with picoblaze micro-controller of the DAC control, DA completed conversion function
<wjj> 在 2024-10-07 上传 | 大小:480256 | 下载:0

[VHDL编程SP3E1600E_picoblaze_spi_flash_prog

说明:利用Picoblaze实现对SPI flash的控制,实现读写,擦除操作等基本功能-use Picoblaze SPI flash of realization of the control and achieving literacy, Erase operation of basic functions
<wjj> 在 2024-10-07 上传 | 大小:907264 | 下载:0

[VHDL编程VHDL_adc0809

说明:驱动模数转换器ADC0809转换的VHDL代码-Driver Analog to Digital Conversion Connection between ADC 0809 VHDL code
<YI> 在 2024-10-07 上传 | 大小:108544 | 下载:0

[VHDL编程Verilog_HDL

说明:讲述VHDL的很经典的一本书,可以作为入门来使用-on VHDL is a classic book to be used as a portal
<李宁> 在 2024-10-07 上传 | 大小:4769792 | 下载:0

[VHDL编程Verilog_Development_Board_Sources

说明:朋友,我是Jawen.看到先前上载的一套CPLD开发板的VHDL源码挺受欢迎的,现在就将她的Verilog源码也一并贡献给大家:8位优先编码器,乘法器,多路选择器,二进制转BCD码,加法器,减法器,简单状态机,四位比较器,7段数码管,i2c总线,lcd液晶显示,拨码开关,串口,蜂鸣器,矩阵键盘,跑马灯,交通灯,数字时钟-friends, I Jawen. previously seen on the set of CPLD Develo
<Jawen> 在 2024-10-07 上传 | 大小:3151872 | 下载:0
« 1 2 ... .73 .74 .75 .76 .77 4178.79 .80 .81 .82 .83 ... 4311 »

源码中国 www.ymcn.org