资源列表

« 1 2 ... .69 .70 .71 .72 .73 4174.75 .76 .77 .78 .79 ... 4311 »

[VHDL编程rs_decoder_31_19_6.tar

说明:Hard-decision decoding scheme Codeword length (n) : 31 symbols. Message length (k) : 19 symbols. Error correction capability (t) : 6 symbols One symbol represents 5 bit. Uses GF(2^5) with primitive polynom
<许茹芸> 在 2024-10-07 上传 | 大小:14336 | 下载:1

[VHDL编程duogongnengdianzizhong

说明:具有整点报时功能,整点时响铃5s。具有控制启动和关闭功能。 具有调整起床铃,熄灯铃时间的功能。 具有调整打铃时间长短和间歇时间长短的功能。 -with whole point timekeeping function, the whole point ringing 5s. Have control startup and shutdown functions. Get up with adjustments bell, l
<吴声炬> 在 2024-10-07 上传 | 大小:941056 | 下载:0

[VHDL编程vgactrl

说明:vga控制电路原码。主要有时序产生模块,彩条产生模块和接口模块。改程序主要用状态机来实现,两个计数器来控制状态的翻转。-vga control circuit original code. Sequencers have a major modules of exotic produce modules and interface modules. Procedures in the main state machine to achi
<lili> 在 2024-10-07 上传 | 大小:1024 | 下载:0

[VHDL编程xapp935

说明:ddr2 controller, verilog source code from xilinx
<Hubert> 在 2024-10-07 上传 | 大小:347136 | 下载:0

[VHDL编程656to601

说明:本程序实现视频图象的CCIR656转换CCIR601格式,使用的环境是Quartus II 4.0-the program CCIR656 video image conversion CCIR601 format, The environment is the use of Quartus II 4.0
<吉克> 在 2024-10-07 上传 | 大小:564224 | 下载:0

[VHDL编程isatoi2c

说明:本程序实现的是ISA转I2C的功能,绝对可用-this program is the ISA I2C transfer function can be absolute
<吉克> 在 2024-10-07 上传 | 大小:3072 | 下载:0

[VHDL编程leon2-1[1].0.2a

说明:leon微处理器源代码,航空专用,功能强劲。包括详细说明-leon microprocessor source code, air flow, a strong function. Include a detailed descr iption of
<王 一> 在 2024-10-07 上传 | 大小:919552 | 下载:0

[VHDL编程VerilogHDLchinapub

说明:Verilog HDL硬件描述语言 01简介.PDF 02HDL指南.PDF 03语言要素.PDF 04表达式.PDF 05门电平模型化.PDF 06用户定义原语.PDF 07数据流模型化.PDF 08行为建模.PDF 09结构建模.PDF 10其它论题.PDF 11验证.PDF 12建模实例.PDF 13语法参考.PDF-Verilog HDL Hardware Descr ipti
<> 在 2024-10-07 上传 | 大小:4837376 | 下载:0

[VHDL编程chip1

说明:CPLD的程序,分频,微分等,应用于DPLL -CPLD procedures, frequency, differential, etc. can be applied to DPLL
<sss> 在 2024-10-07 上传 | 大小:30720 | 下载:0

[VHDL编程S1_38yima

说明:1、本程序模仿3/8译码器的功能 2、由拨码开关输入,led输出。-1, the procedures imitate 3/8 decoder function 2, code switching from the allocation of import, export led.
<xujia> 在 2024-10-07 上传 | 大小:119808 | 下载:0

[VHDL编程8b10b_Encoder

说明:应用VHDL设计的8b10b 编码器,对串行数据的高速传输有用。-application VHDL design 8b10b encoding device to the high-speed serial data transmission useful.
<> 在 2024-10-07 上传 | 大小:77824 | 下载:0

[VHDL编程8b10b_Decoder

说明:应用VHDL设计的8b10b解码器源文件,实现高速的串行数据传输。-application VHDL design 8b10b decoder source, the realization of high-speed serial data transmission.
<> 在 2024-10-07 上传 | 大小:18432 | 下载:0
« 1 2 ... .69 .70 .71 .72 .73 4174.75 .76 .77 .78 .79 ... 4311 »

源码中国 www.ymcn.org