资源列表

« 1 2 ... .05 .06 .07 .08 .09 4110.11 .12 .13 .14 .15 ... 4311 »

[VHDL编程Modelsim

说明:这是关于MODELSIM58的东西,大家感兴趣的话可以下载.原文的名字是MODELSIM中文教程,可惜又不支持中文名字.-This is on MODELSIM58 things, everyone interested can be downloaded. The original Chinese name is ModelSim Tutorial, but do not support the Chinese name.
<madder> 在 2024-10-08 上传 | 大小:726016 | 下载:0

[VHDL编程Mentor(Modelsim)

说明:原言语名字是MODELSIM百问.是一些常见的使用过程中出现的问题.希望对大家有用处.谢谢.-The original language name is ModelSim hundred question. Is the use of some common issues arising in the course. I hope to have the usefulness of U.S.. Thank you.
<madder> 在 2024-10-08 上传 | 大小:779264 | 下载:0

[VHDL编程vspi

说明:verilog VSIP core,用verilog语言编写,希望对各位朋友有所帮助!-verilog VSIP core, using Verilog language, and they hope to help all our friends!
<liuzinan> 在 2024-10-08 上传 | 大小:13312 | 下载:0

[VHDL编程200710122171387979

说明:此源码为线性相位滤波的vhdl源码和设计心得体会,理论分析和工程实践总结相结合,有很大的参考价值-This source for the linear phase filter VHDL source code and design experiences, theoretical analysis and summary of the combination of engineering practice, has a great r
<骆军> 在 2024-10-08 上传 | 大小:737280 | 下载:0

[VHDL编程Modelsim

说明:一个做FPGA时经常使用到的一个软件的介绍。-An FPGA to do often use to introduce a software.
<杨宁> 在 2024-10-08 上传 | 大小:2605056 | 下载:0

[VHDL编程fd

说明:这是一个键盘防抖动的C程序。该防抖动程序采用计数器型,简单,稳定,省资源。-This is a keyboard防抖动the C program. The procedures used防抖动counter type, simple, stable, provincial resources.
<liuhailiang> 在 2024-10-08 上传 | 大小:174080 | 下载:0

[VHDL编程verilog

说明:verilog设计练习进阶,针对的读者是 verilog hdl的初学者。-Advanced Verilog design practice, in view of the reader is beginner verilog hdl.
<xuping> 在 2024-10-08 上传 | 大小:103424 | 下载:0

[VHDL编程traffic

说明:完整的VerilogHDL交通灯例程,已通过硬件仿真。-VerilogHDL complete routine traffic lights, had passed through hardware emulation.
<xuping> 在 2024-10-08 上传 | 大小:34816 | 下载:0

[VHDL编程clock

说明:完整的VerilogHDL时钟例程,已通过硬件仿真。-VerilogHDL complete clock routines, has passed through hardware emulation.
<xuping> 在 2024-10-08 上传 | 大小:28672 | 下载:0

[VHDL编程serial

说明:VerilogHDL例程,实现和PC机进行基本的串口通信。-VerilogHDL routine, and realize the basic PC-to serial communication.
<xuping> 在 2024-10-08 上传 | 大小:67584 | 下载:0

[VHDL编程dividefre

说明:CPLD_EPM7064程序,运用计数器实现的分频程序,VHDL-CPLD_EPM7064 procedures, the use of counters to achieve the sub-frequency procedures, VHDL
<> 在 2024-10-08 上传 | 大小:126976 | 下载:0

[VHDL编程ss_pcm.tar

说明:pcm 接口的源代码,有参考价值~verilog语言编写-pcm interface source code, have reference value ~ verilog language
<张丰> 在 2024-10-08 上传 | 大小:4096 | 下载:1
« 1 2 ... .05 .06 .07 .08 .09 4110.11 .12 .13 .14 .15 ... 4311 »

源码中国 www.ymcn.org