资源列表

« 1 2 ... .72 .73 .74 .75 .76 3877.78 .79 .80 .81 .82 ... 4311 »

[VHDL编程VDHL

说明:电梯的设计·用来控制6层的电梯设计原来·VHDL语言-Elevator designed to control the lift design 6 original VHDL language
<tdh> 在 2024-10-13 上传 | 大小:162816 | 下载:0

[VHDL编程jsq

说明:本程序为24小时计时器,稳定无误差。简单好用,是Verilog HDL语言初学者的指引。-This procedure for 24-hour timer, stable error-free. Easy-to-use, is the Verilog HDL language beginners guide.
<> 在 2024-10-13 上传 | 大小:1024 | 下载:0

[VHDL编程eda

说明:基于EDA技术设计4位十进制数字频率计的系统方案-Based on EDA technology design four decimal system solutions Cymometer
<小草> 在 2024-10-13 上传 | 大小:106496 | 下载:0

[VHDL编程0ds7cINj

说明:数字频率计VHDL程序与仿真 文件名:plj.vhd。 --功能:频率计。具有4位显示,能自动根据7位十进制计数的结果,自动选择有效数据的 --高4位进行动态显示。小数点表示是千位,即KHz。 -Digital Cymometer VHDL procedures and simulation of the file name: plj.vhd.- Function: frequency meter. With four
<小草> 在 2024-10-13 上传 | 大小:100352 | 下载:0

[VHDL编程cnt

说明:俩个比较好的计数器的vhdl代码:一个是n位通用计数器,一个是的用到的语法比较全面。是比较好的学习资料-Both a relatively good counter VHDL code: one is the generic n-bit counter, one is the syntax used in the more comprehensive. Is a better learning materials
<郭新稳> 在 2024-10-13 上传 | 大小:1024 | 下载:0

[VHDL编程VGA-VerilogHDL

说明:用Verilog HDL编写的VGA显示驱动程序-Verilog HDL prepared with VGA display driver
<liping> 在 2024-10-13 上传 | 大小:141312 | 下载:0

[VHDL编程shuzizhong

说明:可预置数字钟,用VHDL语言编写,LED显示,普通数字钟表。-Digital clock can be preset using VHDL language, LED display, an ordinary digital watch.
<pj> 在 2024-10-13 上传 | 大小:1422336 | 下载:0

[VHDL编程USBcomm

说明:
<libing> 在 2024-10-13 上传 | 大小:10240 | 下载:0

[VHDL编程fpga-fpdpsk

说明:FSK/PSK调制顶层文件 ,正弦波模块 ,正弦波模块初始化文件 ,振幅调整及波形选择模块 ,频率显示值地址产生模块 ,频率步进键核心模块 ,弹跳消除电路-FSK/PSK modulation top-level documents, sine-wave modules, module initialization file sine wave, amplitude adjustment and wavefor
<libing> 在 2024-10-13 上传 | 大小:27648 | 下载:0

[VHDL编程fpga-fredivn

说明:
<libing> 在 2024-10-13 上传 | 大小:2048 | 下载:0

[VHDL编程fpga-pulse_sequence

说明:pulse_sequence.vhd 并行脉冲控制器 light.vhd.vhd 交通脉冲控制器 division1.vhd 电压脉冲控制器中的分频 ad.vhd 电压脉冲控制器中的A/D控制 code.vhd 电压脉冲控制器中的脉冲运算模块 voltage2.bdf 电压脉冲控制系统-pulse_sequence.vhd pulse controller parallel light.vhd.vhd traffic
<libing> 在 2024-10-13 上传 | 大小:6144 | 下载:0

[VHDL编程13

说明:para13: fifo.vhd FIFO(双口RAM) fifo1.vhd FIFO(嵌入式EAB) fifo2.vhd FIFO(LPM)-para13: fifo.vhd FIFO (dual port RAM) fifo1.vhd FIFO (embedded EAB) fifo2.vhd FIFO (LPM)
<libing> 在 2024-10-13 上传 | 大小:3072 | 下载:0
« 1 2 ... .72 .73 .74 .75 .76 3877.78 .79 .80 .81 .82 ... 4311 »

源码中国 www.ymcn.org