资源列表

« 1 2 ... .95 .96 .97 .98 .99 3800.01 .02 .03 .04 .05 ... 4311 »

[VHDL编程TLC5510_VHDL

说明:基于VHDL语言,实现对高速A/D器件TLC5510控制-Based on the VHDL language, to achieve high-speed A/D device control TLC5510
<huangsong> 在 2024-10-14 上传 | 大小:1024 | 下载:0

[VHDL编程MFSK_VHDL

说明:--文件名:PL_MASK --功能:基于VHDL硬件描述语言,对基带信号进行MASK调制 --说明:这里MASK中的M为4 -- File Name: PL_MASK- features: VHDL hardware descr iption language based on the base-band signal modulation MASK- Descr iption: Here MASK of M 4
<huangsong> 在 2024-10-14 上传 | 大小:63488 | 下载:0

[VHDL编程MPSK_VHDL

说明:--文件名:PL_MPSK --功能:基于VHDL硬件描述语言,对基带信号进行MPSK调制(这里M=4) -- File Name: PL_MPSK- features: VHDL hardware descr iption language based on the base-band signal MPSK modulation (here M = 4)
<huangsong> 在 2024-10-14 上传 | 大小:79872 | 下载:0

[VHDL编程wave_produce_VHDL

说明:--文件名:mine4.vhd。 --功能:实现4种常见波形正弦、三角、锯齿、方波(A、B)的频率、幅度可控输出(方波 --A的占空比也是可控的),可以存储任意波形特征数据并能重现该波形,还可完成 --各种波形的线形叠加输出。 --说明: SSS(前三位)和SW信号控制4种常见波形种哪种波形输出。4种波形的频率、 --幅度(基准幅度A)的调节均是通过up、down、set按键和4个BCD码置入器以及一 --个置入
<huangsong> 在 2024-10-14 上传 | 大小:10240 | 下载:0

[VHDL编程PSK_VHDL

说明: CPSK调制VHDL程序 --文件名:PL_CPSK --功能:基于VHDL硬件描述语言,对基带信号进行调制 -VHDL procedures CPSK modulation- the file name: PL_CPSK- features: VHDL hardware descr iption language based on the base-band signal modulation
<huangsong> 在 2024-10-14 上传 | 大小:72704 | 下载:0

[VHDL编程FSK_HDL

说明:1. FSK调制VHDL程序 --文件名:PL_FSK --功能:基于VHDL硬件描述语言,对基带信号进行FSK调制 -1. FSK modulation VHDL procedures- File Name: PL_FSK- features: VHDL hardware descr iption language based on the base-band signal FSK modulation
<huangsong> 在 2024-10-14 上传 | 大小:52224 | 下载:0

[VHDL编程ASK_VHDL

说明:ASK调制VHDL程序 --文件名:PL_ASK --功能:基于VHDL硬件描述语言,对基带信号进行ASK振幅调制 -ASK modulation VHDL procedures- File Name: PL_ASK- features: VHDL hardware descr iption language based on the base-band signal amplitude modulation ASK
<huangsong> 在 2024-10-14 上传 | 大小:41984 | 下载:0

[VHDL编程frequence_VHDL

说明:采用等精度测频原理的频率计的程序与仿真 --文件名:PLJ.vhd。 --功能:4位显示的等精度频率计。 -Such as the accuracy of frequency measurement using the principle of the frequency of the procedure and simulation- the file name: PLJ.vhd.- Function: 4 shows,
<huangsong> 在 2024-10-14 上传 | 大小:122880 | 下载:0

[VHDL编程ENCODE

说明:本源码实现交织编码,源码为VHDL语言。运行于发射端FPGA。-Interleaved Coded achieve this source, source code for VHDL language. Running on the transmitter FPGA.
<yinglun> 在 2024-10-14 上传 | 大小:2048 | 下载:0

[VHDL编程cordic

说明:使用CORDIC算法求算反正切值,非常实用,大家可以下来-The use of CORDIC algorithm calculating arctangent value, very useful, we can down
<江山> 在 2024-10-14 上传 | 大小:1024 | 下载:0

[VHDL编程AN532_PCIe_sopc_s2gx_x4

说明:基于S2gx芯片的NIOS下的x4模式PCI-Express模块-NIOS based S2gx chips x4 mode under the PCI-Express Module
<lzm> 在 2024-10-14 上传 | 大小:4856832 | 下载:0

[VHDL编程flash_controller

说明:Altera下的FPGA运行Nios处理器的flash控制器-Altera
<lzm> 在 2024-10-14 上传 | 大小:398336 | 下载:0
« 1 2 ... .95 .96 .97 .98 .99 3800.01 .02 .03 .04 .05 ... 4311 »

源码中国 www.ymcn.org