资源列表

« 1 2 ... .00 .01 .02 .03 .04 3905.06 .07 .08 .09 .10 ... 4311 »

[VHDL编程traffic

说明:交通灯的VHDL实现,使用状态机来实现,适合初学者-VHDL implementation of traffic lights, use state machines to implement, suitable for beginners
<zy> 在 2024-10-12 上传 | 大小:1024 | 下载:0

[VHDL编程ALUnew

说明:Half Adder which is implemented in gate level
<Chamila> 在 2024-10-12 上传 | 大小:1024 | 下载:0

[VHDL编程Flash

说明:pico blaze assembly code for write to micro SD flash with spi protocol
<ali> 在 2024-10-12 上传 | 大小:1024 | 下载:0

[VHDL编程qiduanma

说明:用verilog描述的七段码程序,包含测试文件,经过了quartusii仿真测试并成功下载到DE3板子-Described in the seven-segment code with verilog program, including test file, after quartusii simulation testing and successfully downloaded to the board DE3
<jetzhan> 在 2024-10-12 上传 | 大小:1024 | 下载:0

[VHDL编程div7

说明:VERILOG代码 七分频电路设计通用分频器值得一看-DIV7 circle
<张强> 在 2024-10-12 上传 | 大小:1024 | 下载:0

[VHDL编程ss

说明:这里的代码对大家有帮助啊 快来看看吧 谢谢啦 哈哈 关于波形的的代码-thanks you
<张强> 在 2024-10-12 上传 | 大小:1024 | 下载:0

[VHDL编程t51

说明:用VHDL设计一个四舍五入判别电路,其输入为8421BCD码,要求当输入大于或等于5且小于10时,判别电路输出为1,小于5为0,大于等于10时输出为高阻态。 -VHDL design with a rounded judge circuit, its input 8421BCD code require that when the input is greater than or equal to 5 and less than 10,
<hualiayue> 在 2024-10-12 上传 | 大小:1024 | 下载:0

[VHDL编程LED7s

说明:用VHDL语言编写的 LED七段显示译码器-Written in VHDL language with the LED seven-segment display decoder
<hualiayue> 在 2024-10-12 上传 | 大小:1024 | 下载:0

[VHDL编程22

说明:这个是洗衣机控制器的代码,是用vhdl编写的,只有两个程序,有需要的用户可以下载-This is the washing machine controller code is written in vhdl, only two procedures, there is a need for users to download
<小李> 在 2024-10-12 上传 | 大小:1024 | 下载:0

[VHDL编程mouse

说明:基于PS/2协议的鼠标驱动程序,用Verilog语言写成,可以用于任何型号的FPGA的驱动。-Based on PS/2 protocol mouse driver written using Verilog language can be used for any type of FPGA-driven.
<wendy> 在 2024-10-12 上传 | 大小:1024 | 下载:0

[VHDL编程mouse_ps2

说明:基于FPGA的鼠标驱动程序,该鼠标基于PS2协议,可以做成IP核,用于嵌入式当中。-FPGA-based mouse driver, the mouse protocol based on the PS2 can be made IP cores for embedded them.
<wendy> 在 2024-10-12 上传 | 大小:1024 | 下载:0

[VHDL编程controller

说明:VHDL code for controller
<Sreekumar Sreenivas> 在 2024-10-12 上传 | 大小:1024 | 下载:0
« 1 2 ... .00 .01 .02 .03 .04 3905.06 .07 .08 .09 .10 ... 4311 »

源码中国 www.ymcn.org