资源列表

« 1 2 ... .94 .95 .96 .97 .98 4099.00 .01 .02 .03 .04 ... 4311 »

[VHDL编程comparator

说明:COMPERATOR 2位比较器,含测试(COMPERATOR 2 bit comparator, including testbanch)
<sunyp24 > 在 2024-10-09 上传 | 大小:1024 | 下载:0

[VHDL编程测pwm波占空比

说明:基于Verilog的接受pwm波并且测量pwm波占空比(Measuring the duty cycle of PWM wave)
<友善的凌晨 > 在 2024-10-09 上传 | 大小:1024 | 下载:0

[VHDL编程verilog hdl教程135例

说明:VERIOLOG 各个功能模块教程编写严谨。(VERIOLOG each function module tutorial, rigorous writing.)
<JIUJIUJIUGUA > 在 2024-10-09 上传 | 大小:169984 | 下载:0

[VHDL编程kcsj

说明:利用Verilog层次化设计的多功能数字时钟,可以调时,设置闹钟,仿广播台整点报时(The use of Verilog hierarchical design of multi-functional digital clock, you can set the alarm clock, similar to the broadcast station, the whole point of time)
<SEEC > 在 2024-10-09 上传 | 大小:956416 | 下载:0

[VHDL编程rtc.tar

说明:zynq rtc例程 测试好使的,非常好用,可供参考(zynq rtc program,have been verified)
<shelihuang > 在 2024-10-09 上传 | 大小:283648 | 下载:0

[VHDL编程FIR滤波器

说明:STM32f407 DSP库应用 FIR滤波器 用示波器测试PA8,可以测出1Khz的正弦波。如果不是,修改PWM参数,使其正好为1Khz.(STM32f407 DSP library uses FIR filter Oscilloscope PA8 test, you can measure the sine wave of 1Khz. If not, modify the PWM parameter to make it exa
<jack5117 > 在 2024-10-09 上传 | 大小:24194048 | 下载:0

[VHDL编程CANNY

说明:对特定图片进行canny边缘检测。首先是高斯模糊,然后sobel算子处理,再局部极大值确定,最后阈值判断。(Canny edge detection for a particular picture. The first is the Gauss fuzzy, and then the Sobel operator is processed, and then the local maximum is determined, and f
<TonytheGreat > 在 2024-10-09 上传 | 大小:7168 | 下载:0

[VHDL编程led_test

说明:实现流水灯的控制verilog程序,源程序vivado 2015.4(Achieve water light control, Verilog procedures)
<win1234 > 在 2024-10-09 上传 | 大小:7444480 | 下载:0

[VHDL编程mian

说明:系统上电后,数码管低五位显示00000,按下PLUSE按键,显示数值加1(After power on, the digital tube is low, five shows 00000, press the PLUSE button, display the value plus 1)
<赵11 > 在 2024-10-09 上传 | 大小:1024 | 下载:0

[VHDL编程USB2.0的IP核(详细verilog源码和文档)

说明:USB2.0的IP核开发.代码可以直接使用已经验证过(USB2.0 IP kernel development. Code can be used directly, has been verified)
<kelvinlu > 在 2024-10-09 上传 | 大小:195584 | 下载:0

[VHDL编程svpwm_full_nios

说明:实现verilog的svpwm 对于算法开发有很好的帮助。。希望大家多多学习了。(Implementation of verilog svpwm for the development of the algorithm has a very good help. The I hope you learn a lot.)
<头上两只角 > 在 2024-10-09 上传 | 大小:14814208 | 下载:0

[VHDL编程08_lwip

说明:zynq7000 下 lwip例程,经过测试,好用(zynq7000 lwip program)
<shelihuang > 在 2024-10-09 上传 | 大小:54884352 | 下载:0
« 1 2 ... .94 .95 .96 .97 .98 4099.00 .01 .02 .03 .04 ... 4311 »

源码中国 www.ymcn.org