资源列表

« 1 2 ... .44 .45 .46 .47 .48 3749.50 .51 .52 .53 .54 ... 4311 »

[VHDL编程S1_38yima_NEW

说明:本次实验主要实现一个 3/8 译码器,在本实验的程序中是由 SW1、 SW2、 SW3 分别对应三位的二进制。 SW3 SW2 SW1 : 所对应数字及二极管 0 0 0 : 0 DD1 0 0 1 : 1 DD2 0 1 0 : 2 DD3 0 1 1 : 3 DD4 1 0 0 : 4 DD5 1 0 1 : 5 DD6 1 1 0 : 6 DD7 1 1 1 : 7 DD8-T
<赵厉> 在 2024-10-15 上传 | 大小:63488 | 下载:0

[VHDL编程S2_counter_NEW

说明:设计一个以十进制为基础的计数器,实现从 0 开始的计数功能;本实验主要是利用开发板上面的数码管实现一个十进制计数器的功能,计数范围0000-9999,可实现循环计数。先输入verilog 程序,然后在 QuartusII 中做波形仿真,通过后下载程序在数码管上查看计数器的功能。-Designing a decimal-based counters, a zero-counting function this experiment i
<赵厉> 在 2024-10-15 上传 | 大小:111616 | 下载:0

[VHDL编程S3_SW_PB_NEW

说明:设计一个通过按键( PD)和拨码开关( SW)来控制 LED 灯的实验 本实验是利用底板上的按键及拨码开关来实现对 LED 灯的控制,其中对应关系为SW1—SW6 分别对应 DD1—DD6,PD1—PD8 分别对应 DD1—DD8。-Design is controlled by a key (PD) and a DIP switch (SW) LED lamp experiment this experiment is the us
<赵厉> 在 2024-10-15 上传 | 大小:60416 | 下载:0

[VHDL编程vga

说明:vga This details a VGA controller component that handles VGA signal timing, written in VHDL for use with CPLDs and FPGAs. Figure 1 illustrates a typical example of the VGA controller integrated into a system. As shown,
<jiang nan> 在 2024-10-15 上传 | 大小:219136 | 下载:0

[VHDL编程add

说明:The circuit 1 in is a 1-bit binary adder with 3 inputs (A, B and Carry-In) and 2 outputs (Sum and Carry-Out).The circuit 2 depends on circuit 1 which create a VHDL file ADD4 which is a 4-bit binary adder built using ADD1
<jiang nan> 在 2024-10-15 上传 | 大小:3072 | 下载:0

[VHDL编程sayeh

说明:The SAYEH (Simple Architecture, Yet Enough Hardware) is a processor architecture that has been developed by Navabi in [1] for experimental and teaching purposes. As the name implies it is a “simple” architecture but cont
<jiang nan> 在 2024-10-15 上传 | 大小:41984 | 下载:0

[VHDL编程parkingfee

说明:数字系统课程设计-自助停车缴费系统,该程序模拟汽车入库出库,进行计时和计费。-Digital System Design Course- Self-parking payment system, the program simulates a car storage library for timing and billing
<林铭洲> 在 2024-10-15 上传 | 大小:8536064 | 下载:0

[VHDL编程CPU

说明:完整仿真CPU功能,包括中断功能,查询功能,加减乘除和逻辑运算等。-Complete CPU emulation functions, including interrupt function, search function, arithmetic and logical operations and so on.
<林铭洲> 在 2024-10-15 上传 | 大小:3594240 | 下载:0

[VHDL编程xapp1198

说明:Xilinx V7 FPGA如何利用ARM处理器实现GTX/GTH高速串行接口眼图扫描功能。-Xilinx V7 FPGA how to use the ARM processor GTX/GTH-speed serial interface eye scanning.
<harry> 在 2024-10-15 上传 | 大小:12119040 | 下载:0

[VHDL编程Lab10_Part1

说明:Verilog code for Altera Part1 Lab10
<adang> 在 2024-10-15 上传 | 大小:1024 | 下载:0

[VHDL编程v

说明:Synthetisable verilog of compact crypto algorithms: RC4, TEA, XTEA, XXTEA. A faster but, more resource hungry version for RC4 and XXTEA is included.
<zardoz> 在 2024-10-15 上传 | 大小:62464 | 下载:0

[VHDL编程water

说明:基于FPGA的流水灯设计,可以检验晶振是否正常工作,时钟晶振为48M-Running water light design based on FPGA makes possible the testing of crystals is working correctly, the clock crystals of 48m
<张任> 在 2024-10-15 上传 | 大小:53248 | 下载:0
« 1 2 ... .44 .45 .46 .47 .48 3749.50 .51 .52 .53 .54 ... 4311 »

源码中国 www.ymcn.org