资源列表

« 1 2 ... .08 .09 .10 .11 .12 4213.14 .15 .16 .17 .18 ... 4311 »

[VHDL编程skrypt_bazydany_3temat

说明:Ja juz nie wiem jak mam to zweryfikowac
<AdamAdam> 在 2024-10-06 上传 | 大小:2063360 | 下载:0

[VHDL编程Guia_1B

说明:0-10-0 counter to 8051 microcontroller in assembly
<ruimartins123> 在 2024-10-06 上传 | 大小:28672 | 下载:0

[VHDL编程verilog-stopwatch-master

说明:verilog stop watch code for end user
<nira> 在 2024-10-06 上传 | 大小:10240 | 下载:0

[VHDL编程DS18B20

说明:利用FPGA来采集DS18B20数字温度传感器,完成测温采集的功能(The use of FPGA to collect DS18B20 digital temperature sensor to complete the function of temperature measurement and collection)
<天威浩荡> 在 2024-10-06 上传 | 大小:27648 | 下载:0

[VHDL编程div_3

说明:采用Verilog语言对时钟进行3分频,满足系统多时钟频率的要求(3 frequency division of clock in Verilog language to meet the requirement of multi clock frequency of the system)
<天威浩荡> 在 2024-10-06 上传 | 大小:1126400 | 下载:0

[VHDL编程axi_ad9361

说明:AXI_AD9361 的 verilog 驱动工程,包含数据接收,数据发送 AXI总线 ,全部是verliog实现(AXI_AD9361's Verilog drive project, including data reception, data transmission AXI bus, all verliog implementation)
<大木瓜> 在 2024-10-06 上传 | 大小:40960 | 下载:0

[VHDL编程ddr3_mig8

说明:fpga实现ddr数据收发测试,完整的工程,下载解压后,即可正确运行,已多次验证无误(FPGA DDR data receive and receive test, complete engineering, download and unzip, can run correctly, has been verified many times)
<大木瓜> 在 2024-10-06 上传 | 大小:16119808 | 下载:0

[VHDL编程VGA_to_DVI

说明:采用Verilog语言将VGA视频信号转化成DVI视频信号,实现视频信号的转化(Using Verilog language to transform VGA video signal into DVI video signal and realize the transformation of video signal)
<天威浩荡> 在 2024-10-06 上传 | 大小:2697216 | 下载:0

[VHDL编程CTE

说明:YUV訊號轉RGB訊號 RGB訊號轉YUV訊號(YUV to RGB and RGB to YUV)
<王俞婷> 在 2024-10-06 上传 | 大小:1024 | 下载:0

[VHDL编程SOPC开发快速入门教程中文版

说明:本文为基于QuartusII和NiosII IDE的FPGA/SOPC开发资料,目的是为了尽快掌握FPGA/SOPC的开发流程,投入实践当中。(This paper develops data for FPGA/SOPC based on QuartusII and NiosII IDE. The purpose is to master the development process of FPGA/SOPC as soon as p
<Querer> 在 2024-10-06 上传 | 大小:2045952 | 下载:0

[VHDL编程verilog黄金参考指南中文版

说明:本文是verilog的编程指导书籍,对verilog开发有较大的帮助。(This article is the programming guide book of Verilog, which has great help for the development of Verilog.)
<Querer> 在 2024-10-06 上传 | 大小:471040 | 下载:0

[VHDL编程华为_大规模逻辑设计指导书

说明:本文为华为公司内部FPGA开发资料,对提升编程能力有较大帮助。(This paper has a great help for improving the programming ability of HUAWEI's internal FPGA development data.)
<Querer> 在 2024-10-06 上传 | 大小:2237440 | 下载:0
« 1 2 ... .08 .09 .10 .11 .12 4213.14 .15 .16 .17 .18 ... 4311 »

源码中国 www.ymcn.org