资源列表

« 1 2 ... .80 .81 .82 .83 .84 4085.86 .87 .88 .89 .90 ... 4311 »

[VHDL编程QII_9.1.tar

说明:quartus 9 "solution" 2
<gustavo > 在 2024-10-09 上传 | 大小:935936 | 下载:0

[VHDL编程HEX2BCD

说明:十六进制转BCD,包含设计文件和仿真文件,工程文件(Sixteen decimal to BCD, including design documents and simulation files, engineering documents)
<lqx > 在 2024-10-09 上传 | 大小:3072 | 下载:0

[VHDL编程sine

说明:基于FPGA产生正弦波信号,频率可控,很有用(FPGA based sine wave signal generation, frequency control, very useful)
<wwwyh > 在 2024-10-09 上传 | 大小:1024 | 下载:0

[VHDL编程Dividers

说明:文件中包括各种除法器,不同类型的,不同算法的。(The document includes a variety of divider, different types, different algorithms.)
<FollowSky > 在 2024-10-09 上传 | 大小:8192 | 下载:0

[VHDL编程Multipliers

说明:各种乘法器,不同算法类型的,适用于不同情况。(Various multipliers, different algorithmic types, are applied to different situations.)
<FollowSky > 在 2024-10-09 上传 | 大小:15360 | 下载:0

[VHDL编程FIFO

说明:FIFO的功能众所周知,非常好的处理时序问题。(The functions of FIFO are known to be very good at dealing with timing problems.)
<FollowSky > 在 2024-10-09 上传 | 大小:1024 | 下载:0

[VHDL编程top_rs

说明:利用Xilinx ise的IP CORE写的(255,223)编译码的程序(The use of Xilinx ISE IP CORE written (255223) encoding and decoding procedures)
<魏小爱 > 在 2024-10-09 上传 | 大小:1024 | 下载:0

[VHDL编程day1

说明:《四则运算小计算器设计过程实录》day1(verilog HDL code for day1,7 .rar documents in total.For more code u can put ur eye on my account.)
<敲键盘的小熊猫 > 在 2024-10-09 上传 | 大小:2048 | 下载:0

[VHDL编程day2

说明:《四则运算小计算器设计过程实录》第二天相关程序。更多程序请点我的账号进行下载。(7 rar documents in total.more code on this book plz put a eye on my account.)
<敲键盘的小熊猫 > 在 2024-10-09 上传 | 大小:3072 | 下载:0

[VHDL编程day3

说明:《四则运算小计算器设计过程实录》第三天相关程序。更多程序请点我的账号进行下载。(7 rar documents in total.more code on this book plz put a eye on my account.)
<敲键盘的小熊猫 > 在 2024-10-09 上传 | 大小:4096 | 下载:0

[VHDL编程i2c_latest.tar

说明:i2c协议(i2c)
<lucky421 > 在 2024-10-09 上传 | 大小:1482752 | 下载:0

[VHDL编程32bitvedic and square

说明:32 bit vedic multiplier documentation
<vysh > 在 2024-10-09 上传 | 大小:1088512 | 下载:0
« 1 2 ... .80 .81 .82 .83 .84 4085.86 .87 .88 .89 .90 ... 4311 »

源码中国 www.ymcn.org