搜索资源列表

  1. verilog状态机

    0下载:
  2. 简单的verilog状态机实现
  3. 所属分类:源码下载

    • 发布日期:2011-04-12
    • 文件大小:71680
    • 提供者:kimi09
  1. uartok

    0下载:
  2. 采用verilog编写的串口通信程序,采用了状态机设计!程序简单,消耗资源少-Serial communication written by verilog hdl. It is designed with FSM. The program is simple,and consume resource is few.
  3. 所属分类:串口编程

    • 发布日期:2024-10-21
    • 文件大小:431104
    • 提供者:陈旭
  1. Verilog-statemachine

    0下载:
  2. 利用Verilog编程实现状态机的例子。很不错的。-use Verilog Programming state machine example. Very good.
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-21
    • 文件大小:183296
    • 提供者:张厂
  1. statemachine11.2

    0下载:
  2. 推荐下载,verilog状态机实例.体现了流水线思想的应用 -recommend downloading Verilog state machine example. Pipeline reflects the thinking of the application
  3. 所属分类:其他小程序

    • 发布日期:2024-10-21
    • 文件大小:2048
    • 提供者:陶玉辉
  1. 8.10

    0下载:
  2. 强烈推荐下载,verilog状态机实例.可以在modelsim下运行. -strongly recommend downloading Verilog state machine example. In modelsim running.
  3. 所属分类:其他小程序

    • 发布日期:2024-10-21
    • 文件大小:18432
    • 提供者:陶玉辉
  1. xcv

    0下载:
  2. verilog编写的状态机检测00100序列. 实现 input:...011000010010000... output:...000000000100100... 并且 用测试模块来验证状态是否正确工作-verilog prepared by the state machine detected 00,100 sequences. Achieve input : ... ... 011000010010000 outp
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-21
    • 文件大小:6144
    • 提供者:陆磊
  1. trafficLight-verilog

    0下载:
  2. 交通灯状态机的实现,用verilog HDL编程,Xilinx ISE 6仿真,在实际电路中得到验证.-traffic lights to achieve the state machine, with verilog HDL programming, Xilinx ISE 6 simulation, the actual circuit have been tested.
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-10-21
    • 文件大小:1532928
    • 提供者:王越
  1. 16bit_booth_multiplier_STG

    0下载:
  2. verilog程序,实现两个16bit数乘法,采用booth算法,基于状态机实现,分层次为datapath和controller两个子模块,testBench测试通过-verilog procedures, two 16bit multiplication, the algorithm used booth. Based on the state machine achieved at different levels for data
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-10-21
    • 文件大小:2048
    • 提供者:
  1. verilog

    0下载:
  2. 一个简单状态机的.v文件,含testbench-A simple state machine. V file containing Testbench
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-21
    • 文件大小:1024
    • 提供者:QU YIFAN
  1. daima

    0下载:
  2. 状态机控制AD转换模块 该模块主要实现对MAX197的控制:根据设计需要对芯片进行初始化(包括写控制字选择输入电压值范围、选择通道以及工作模式),并把通道数送指示灯显示以及用键盘控制通道号(按一下,通道号加1,同时点亮相应的指示灯,循环使用个通道);控制状态机的工作时序,并置两次采集到的数据为12位数据输出,并经过锁存进程来锁存数据,最后从锁存器中把输出数据-The state machine controls AD and cha
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-21
    • 文件大小:3072
    • 提供者:万俟斌
  1. state

    0下载:
  2. verilog HDL下有限状态机(FSM),麻雀虽小,但五脏俱全!值得一看-under the verilog HDL Finite State Machine (FSM), the sparrow may be small, but is a fully-equipped! Worth a visit! !
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-21
    • 文件大小:59392
    • 提供者:wang
  1. fsmled

    0下载:
  2. verilog语言, 状态机实现数码管显示 -This uses verilog language to make state machine realization of digital control
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-21
    • 文件大小:5120
    • 提供者:普旭贺
  1. Verilog

    0下载:
  2. 用verilog实现七位最大公约数的算法,使用状态机,可仿真电路图-Seven with the greatest common divisor algorithm verilog implementation, the use of state machine circuit simulation
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-21
    • 文件大小:324608
    • 提供者:LEEY
  1. dct01

    0下载:
  2. Verilog编写的串口通讯下解码状态机-Verilog serial communication prepared under the decoder state machine
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-21
    • 文件大小:293888
    • 提供者:tagpair
  1. Verilog-HDL

    0下载:
  2. 这是关于VERILOG HDL的有限状态机的源码,大家参考参考,应该有好处的。-This is about VERILOG HDL source code for finite state machines, we refer to the reference, it should be good.
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-21
    • 文件大小:6126592
    • 提供者:罗啰
  1. dir3

    0下载:
  2. VERILOG 语言写的使用状态机实现奇数分频-VERILOG language is written by the state machine to implement an odd number of points frequency
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-21
    • 文件大小:108544
    • 提供者:li
  1. FiniteStateMachine

    0下载:
  2. 一个可以识别正则表达式的状态机,采用了多种Case描述,方便修改(A finite state machine designed for identifying expression patterns)
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-21
    • 文件大小:140288
    • 提供者:BXYMartin
  1. 状态机

    0下载:
  2. 本代码跟据状态转移图,通过verilog实现了一个有限状态机。(This code implements a finite state machine with the state transition graph through verilog.)
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-21
    • 文件大小:184320
    • 提供者:yuguofang
  1. fsm3

    0下载:
  2. verilog状态机实验,说明一个状态机的生成过程(Verilog state machine experiment, which illustrates the generation process of a state machine)
  3. 所属分类:其他小程序

    • 发布日期:2024-10-21
    • 文件大小:203776
    • 提供者:guosir
  1. verilog状态机

    0下载:
  2. 采用Verilog语言设计一个序列信号发生器和一个序列信号检测器,二者都以状态机模式实现。序列信号发生器输出8位宽度的序列信号“10110110”,通过数码管显示出来;序列信号发生器的输出接入序列信号检测器,检测器检测当前的输入信号,若出现目标序列信号则通过蜂鸣器输出一个声响,表示检测到有效的目标信号。(A sequence signal generator and a sequence signal detector are desi
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-10-21
    • 文件大小:5455872
    • 提供者:听风吹雨
« 12 3 4 5 6 7 8 9 10 ... 16 »

源码中国 www.ymcn.org