资源列表

« 1 2 ... .01 .02 .03 .04 .05 4006.07 .08 .09 .10 .11 ... 4311 »

[VHDL编程Y312448

说明:基于VHDL的SDH专用芯片的TOP-DOWN设计, 内有全套源码以及图片,内容详尽,绝对真实可靠!-VHDL based on the SDH ASIC Design TOP-DOWN, which has a full set of source code, as well as pictures, and detailed, reliable and absolutely true!
<殷彦伟> 在 2024-10-11 上传 | 大小:2607104 | 下载:0

[VHDL编程1

说明:基于FPGA的数字视频信号发生器的设计与实现,内有全套源码以及各种配套的图片,内容详尽,绝对真实! -FPGA-based digital video signal generator Design and Implementation, which has a full set of source code and a variety of matching pictures, and detailed, absolutely t
<殷彦伟> 在 2024-10-11 上传 | 大小:3790848 | 下载:0

[VHDL编程PicoBlazeDA

说明:PicoBlaze 处理器 D_A 转换器控制器-PicoBlaze processor D_A converter controller
<301z> 在 2024-10-11 上传 | 大小:5120 | 下载:0

[VHDL编程Project_Navigator_Demo

说明:双向控制全加器的VHDL实现 内含ISE工程文件-Bi-directional control of the full adder VHDL realize intron ISE project file
<301z> 在 2024-10-11 上传 | 大小:109568 | 下载:0

[VHDL编程i2c

说明:I2C是一种工程应用非常广的协议 在FPGA中的实现
<301z> 在 2024-10-11 上传 | 大小:20480 | 下载:0

[VHDL编程statemachine

说明:状态机是FPGA系统工程应用中应用较多的工具 能有效实现系统的逻辑功能 -FPGA state machine is the application of systems engineering applications of the tool more effectively realize the logical function
<301z> 在 2024-10-11 上传 | 大小:5120 | 下载:0

[VHDL编程VerilogJIAOCHENG

说明:
<刘英> 在 2024-10-11 上传 | 大小:113664 | 下载:0

[VHDL编程dds

说明:直接数字频率合成器,基于vhdl语言,在qartus II上实现,下载调试成功-Direct digital frequency synthesizer, based on the VHDL language, in qartus II achieved a successful download debugging
<浮云> 在 2024-10-11 上传 | 大小:316416 | 下载:0

[VHDL编程61EDA_B288

说明:自动售货机 带选择商品,找零,退币,无货提示,单价显示,选择数量等空能-Vending machines with choice of goods, give change back coins, no goods prompts, unit prices displayed, select the quantity of air can
<汪雷明> 在 2024-10-11 上传 | 大小:560128 | 下载:0

[VHDL编程VHDL_sample

说明:VHDL数字控制系统设计代码 ALARM_SET,BCD_ADD等53个实例,非常适合初学者学习参考-Digital Control System Design VHDL code ALARM_SET, BCD_ADD, such as 53 examples, very suitable for beginners to learn the reference
<cqq> 在 2024-10-11 上传 | 大小:47104 | 下载:0

[VHDL编程songer-03_24

说明:
<ltianyang> 在 2024-10-11 上传 | 大小:115712 | 下载:0

[VHDL编程dianziqin

说明:简易电子琴设计毕业论文 vhdl (1)设计一个简易的八音符电子琴,它可通过按键输入来控制音响。 (2)演奏时可以选择是手动演奏(由键盘输入)还是自动演奏已存入的乐曲。 (3)能够自动演奏多首乐曲,且每首乐曲可重复演奏。
<haiyang> 在 2024-10-11 上传 | 大小:124928 | 下载:0
« 1 2 ... .01 .02 .03 .04 .05 4006.07 .08 .09 .10 .11 ... 4311 »

源码中国 www.ymcn.org