资源列表

« 1 2 ... .84 .85 .86 .87 .88 3789.90 .91 .92 .93 .94 ... 4311 »

[VHDL编程ch2ex

说明:部分电路模块的VHDL代码,包括组合逻辑与时序逻辑电路-Part of the circuit module VHDL code, including combinational logic and sequential logic circuit
<王修杨> 在 2024-10-15 上传 | 大小:2048 | 下载:0

[VHDL编程ch3ex

说明:部分组合逻辑数字电路的VHDL代码,包含必要的功能描述-Some combinational logic digital circuits VHDL code, containing the necessary functional descr iption
<王修杨> 在 2024-10-15 上传 | 大小:6144 | 下载:0

[VHDL编程ch4ex

说明:一部分简单时序逻辑电路的VHDL源代码,未包含状态机描述-Part of a simple sequential logic circuits VHDL source code, does not contain a descr iption of state machine
<王修杨> 在 2024-10-15 上传 | 大小:7168 | 下载:0

[VHDL编程ch5ex

说明:几个稍微深入的时序逻辑电路和状态机的VHDL代码-Several little-depth sequential logic circuit and state machine of the VHDL code
<王修杨> 在 2024-10-15 上传 | 大小:6144 | 下载:0

[VHDL编程ch6ex

说明:数字系统的简单设计,其代码为VHDL,采用行为级描述-A simple digital system design, its code for VHDL, the use of behavioral descr iption
<王修杨> 在 2024-10-15 上传 | 大小:3072 | 下载:0

[VHDL编程ch7ex

说明:简单数字系统的VHDL代码,综合了组合,时序,和状态机-Simple digital system VHDL code, a combination of combinations, timing, and the state machine
<王修杨> 在 2024-10-15 上传 | 大小:5120 | 下载:0

[VHDL编程ch8ex

说明:几个简单数字逻辑电路的VHDL代码,带有简单的说明-A few simple digital logic circuits VHDL code, with a simple note
<王修杨> 在 2024-10-15 上传 | 大小:5120 | 下载:0

[VHDL编程VHDL

说明:已经开发成产品的步进电机定位控制系统的VHDL程序-Has developed into a product positioning stepper motor control system VHDL procedures
<ww> 在 2024-10-15 上传 | 大小:1024 | 下载:0

[VHDL编程VHDL2

说明:成本低廉易于实现非常适合自学自制的出租车计价器VHDL程序-Low-cost and easy to implement very suitable for self-made Taximeter VHDL procedures
<ww> 在 2024-10-15 上传 | 大小:1024 | 下载:0

[VHDL编程code

说明:Verilog的LED控制器源程序,用于LED显示屏幕的控制。-Verilog source code of the LED controller for the LED display screen control.
<> 在 2024-10-15 上传 | 大小:2048 | 下载:0

[VHDL编程dp_test

说明:本程序是用VHDL语言编写的,其中包括并口通讯,DDS电机调速,编码器信号处理等,对研究这方面的工程人员有一定参考作用-This procedure is used VHDL language, including the parallel port communication, DDS motor, encoder signal processing and so on, to look at this area of enginee
<maolianghu> 在 2024-10-15 上传 | 大小:602112 | 下载:0

[VHDL编程VHDL

说明:一本关于VHDL的书,内容很详细,是初学入门的好书-VHDL on a book, the contents in detail is the beginning of the book entry
<高瞻> 在 2024-10-15 上传 | 大小:852992 | 下载:0
« 1 2 ... .84 .85 .86 .87 .88 3789.90 .91 .92 .93 .94 ... 4311 »

源码中国 www.ymcn.org