资源列表

« 1 2 ... .42 .43 .44 .45 .46 3847.48 .49 .50 .51 .52 ... 4311 »

[VHDL编程bin2bcd

说明:Binary to BCD converter
<Natacho> 在 2024-10-14 上传 | 大小:1024 | 下载:0

[VHDL编程lpm_mult0

说明:在Quartus2的编程环境下以VHDL语言来实现 32*32 的高速计算-Quartus2 programming environment in the VHDL language under 32* 32 high-speed computing
<CAR> 在 2024-10-14 上传 | 大小:1024 | 下载:0

[VHDL编程rom

说明:只读存储器VHDL代码,可运行实现,已用quartusII6.0验证-Read-only memory VHDL code can be run to achieve has been used to verify quartusII6.0
<干璐> 在 2024-10-14 上传 | 大小:1024 | 下载:0

[VHDL编程butterfly

说明:蝶形运算的VHDL代码,可以实现,没验证-VHDL code butterfly operations can be achieved, no authentication
<干璐> 在 2024-10-14 上传 | 大小:1024 | 下载:0

[VHDL编程miaobiao

说明:是电子手表的程序,基本上和市面上的电子表显示方式一样,XX:XX的格式-Procedures for electronic watches, and the market is basically the same manner as the electronic table shows, XX: XX format
<小魏> 在 2024-10-14 上传 | 大小:1024 | 下载:0

[VHDL编程stamp_seller

说明: 一个自动售邮票的控制电路。 用两个发光二极管分别模拟售出面值为六角和八角的邮票,购买者可以通过开关选择一种面值的邮票,灯亮时表示邮票售出。用开关分别模拟一角、五角和一元硬币投入。用发光二极管分别代表找回剩余的硬币。 要求:每次只能售出一枚邮票;当所投硬币达到或超过购买者所选面值时,售出一枚邮票,并找回剩余的硬币回到初始状态;当所投硬币值不足面值时,可以通过一个复位键退回所投硬币,回到初始状态。-An automatic con
<张三> 在 2024-10-14 上传 | 大小:1024 | 下载:0

[VHDL编程lamp

说明:
<张三> 在 2024-10-14 上传 | 大小:1024 | 下载:0

[VHDL编程clk_div

说明:Clock division document
<mangesh.kathale> 在 2024-10-14 上传 | 大小:1024 | 下载:0

[VHDL编程pwm

说明:Pulse width modulation
<mangesh.kathale> 在 2024-10-14 上传 | 大小:1024 | 下载:0

[VHDL编程UART

说明:Universal async Transmitter Receiver
<mangesh.kathale> 在 2024-10-14 上传 | 大小:1024 | 下载:0

[VHDL编程10010

说明:verilog实现序列10010检测-verilog to achieve detection of sequence 10010
<lzndcb> 在 2024-10-14 上传 | 大小:1024 | 下载:0

[VHDL编程CRC

说明:CRC 编码-CRC code. . . . . . . . . . . . . . . . .
<lzndcb> 在 2024-10-14 上传 | 大小:1024 | 下载:0
« 1 2 ... .42 .43 .44 .45 .46 3847.48 .49 .50 .51 .52 ... 4311 »

源码中国 www.ymcn.org