资源列表

« 1 2 ... .04 .05 .06 .07 .08 4209.10 .11 .12 .13 .14 ... 4311 »

[VHDL编程2

说明:设计一个具有时、分、秒计时的电子钟,按24小时计时。要求: (1)数字钟的时间用六位数码管分别显示时、分、秒; (2)用两个控制键,对数字钟分别进行分、时校正; (3)具有仿广播电台整点报时的功能。即每逢59分51秒、53秒、55秒及57秒时,发出4声500Hz低音,在59分59秒时发出一声1kHz高音,它们的持续时间均为1秒。最后一声高音结束的时刻恰好为正点时刻。 (4)具有定时闹钟功能,且最长闹铃时间为1分钟。要求可以任意设
<LIMBO2K > 在 2024-10-06 上传 | 大小:52224 | 下载:0

[VHDL编程4

说明:设计一个轨道交通自动售票电路,只接受1,2,5元人民币,每张票价定额5元,并支持找零。要求: (1)用状态机方法设计;(Design an automatic rail transit ticketing circuit, accepting only 1, 2, 5 yuan, 5 yuan per ticket, and support change. Requirements: (1) design with state ma
<LIMBO2K > 在 2024-10-06 上传 | 大小:16384 | 下载:0

[VHDL编程0_verilog

说明:适合入门学习,简单易学,方便易懂。适合入门学习。(Suitable for introductory learning)
<我山谷 > 在 2024-10-06 上传 | 大小:3339264 | 下载:0

[VHDL编程keypad

说明:switch code for lpc2148 software used:keil
<bunny@ > 在 2024-10-06 上传 | 大小:113664 | 下载:0

[VHDL编程1_switch

说明:a switch control for motor and keypad using keil for lpc2148
<bunny@ > 在 2024-10-06 上传 | 大小:66560 | 下载:0

[VHDL编程rajeshadc

说明:pcf8591 adc vhdl code
<rajraj > 在 2024-10-06 上传 | 大小:19456 | 下载:0

[VHDL编程状态机

说明:设计一个简单的数字电路用于电子卖报机,要求如下: 报纸价格为1.5元;投币器只接受5角和1元硬币;投币器不找零。当投入金额合适时,报纸出口打开,否则关闭。用Verilog完成设计。(The design of a simple digital circuit for electronic selling machine, the following: The price is 1.5 yuan; the coin only acce
<victorzn > 在 2024-10-06 上传 | 大小:1024 | 下载:0

[VHDL编程pipelined_fft_128_latest.tar

说明:RTL IMplementaion for the project
<Unnam > 在 2024-10-06 上传 | 大小:217088 | 下载:0

[VHDL编程led

说明:LED灯显示,利用VHDL语言实现数码管中的灯的显示功能(The LED lamp shows that the display function of the lamp in the digital tube is realized by the VHDL language)
<海&角 > 在 2024-10-06 上传 | 大小:1932288 | 下载:0

[VHDL编程硬件描述语言实验指导(1)

说明:此PPT为功能指导型的,便于学生学习VHDL语言和加深对编程的理解和运用。(This PPT is a functional guide for students to learn the VHDL language and to deepen the understanding and application of programming.)
<海&角 > 在 2024-10-06 上传 | 大小:40370176 | 下载:0

[VHDL编程eetop.cn_Verilog HDL入门(第三版)【夏宇闻】

说明:veriloghdl数字设计与综合夏宇闻翻译(dgfsdghfhsgdfhgfddfghdfh)
<petpat > 在 2024-10-06 上传 | 大小:4855808 | 下载:0

[VHDL编程bin2ascii

说明:Bin to ascii converter, with leading zeros. Room for improvement, remove the leading zeros.
<xenfranco > 在 2024-10-06 上传 | 大小:9216 | 下载:0
« 1 2 ... .04 .05 .06 .07 .08 4209.10 .11 .12 .13 .14 ... 4311 »

源码中国 www.ymcn.org