资源列表

« 1 2 ... .62 .63 .64 .65 .66 3967.68 .69 .70 .71 .72 ... 4311 »

[VHDL编程bomb-game-in-verilog

说明:基于verilog实现的炸弹人小游戏,通过FPGA按钮控制,有VGA模块。-bomb game based on verilog, control through the FPGA button control, also with VGA modules.
<栾玮珉> 在 2024-10-11 上传 | 大小:11264 | 下载:0

[VHDL编程hit_the_block

说明:数字逻辑课程大作业,使用verilog语言编写的打砖块游戏。通过FPGA按钮控制弹板移动,反弹小球,控制小球方向,击打砖块。有VGA模块。-Digital Logic Courses big operations, the use of Verilog language brick game. The FPGA button controls the movement of the board, bounces the ball, co
<栾玮珉> 在 2024-10-11 上传 | 大小:1764352 | 下载:0

[VHDL编程BLDC motor control Verilog

说明:BLDC motor speed control using FPGA - Verilog code
<sarathisme> 在 2017-05-25 上传 | 大小:469513 | 下载:0

[VHDL编程CNN

说明:最简单的R3信道编解码,包含有测试程序,非常实用-The simplest R3 channel codec contains a test program that is very useful
<untruegrass> 在 2024-10-11 上传 | 大小:2048 | 下载:0

[VHDL编程pe

说明:卷积神经网络当中的卷积模块,包括有测试程序,用硬件实现5*150的整列卷积-Convolutional neural network convolution module, including a test program, with hardware to achieve 5* 150 integer convolution
<untruegrass> 在 2024-10-11 上传 | 大小:6144 | 下载:0

[VHDL编程6_key_test

说明:用Verilog实现的按键控制LED灯,按下按键,对应的灯亮,再按一下灯灭。-Use Verilog to achieve the key to control the LED lights, press the button, the corresponding light, and then click the light off.
<ye > 在 2024-10-11 上传 | 大小:3130368 | 下载:0

[VHDL编程pj2-NO.6

说明:基于FPGA的电子密码锁设计-已在开发板上成功运行,通过老师检验。-FPGA based electronic password lock design- has been successfully developed on the development board, through the teacher inspection.
<耀敬> 在 2024-10-11 上传 | 大小:131072 | 下载:0

[VHDL编程PLJlyj

说明:本科课程设计 简单频率计的设计,工程编译通过,有原理图。-本科课程设计 简单频率计的设计,工程编译通过,有原理图。 Undergraduate course design- simple frequency meter design, engineering compile, there are schematics.
<耀敬> 在 2024-10-11 上传 | 大小:278528 | 下载:0

[VHDL编程count6

说明:本科课程设计 六进制计数器,带电路原理图,编译已通过。-Undergraduate course design- six decimal counter.Circuit diagram with the compiler has been adopted.
<耀敬> 在 2024-10-11 上传 | 大小:542720 | 下载:0

[VHDL编程FPGA_AND_ASIC

说明:首先要知道自己在干什么?数字电路(fpga/asic)设计就是逻辑电路的实现,这样子说太窄了,因为asic还有不少是模拟的,呵呵。我们这里只讨论数字电路设计。实际上就是如何把我们从课堂上学到的逻辑电路使用原理图(很少有人用这个拉),或者硬件描述语言(Verilog/VHDL)来实现,或许你觉得这太简单了,其实再复杂的设计也就是用逻辑门电路搭起来的。你学习逻辑电路的时候或许会为卡拉图,触发器状态推倒公式而感到迷惑,但是其实有一点可以放心的
<吕攀攀> 在 2024-10-11 上传 | 大小:19456 | 下载:0

[VHDL编程ddsp

说明:DDSVHDL程序一测试可以实现-DDS u8BA4 u779 u4994 u4992 u4B09 u8B0 U5B57 u2002 u5C3D u9CF u4E0 u8BA1 u8BA1 u7Ag9 u653
<malitao> 在 2024-10-11 上传 | 大小:6238208 | 下载:0

[VHDL编程lm75

说明:用vhdl写的基于lm75温度传感器的代码,很实用- U7528vhdl u5199 u7684 u57FA u4E8Elm75 u6E29 u5EA6 u4F20 u611F u5668 u7684 u4EE3 u7801 uFF0C u5F88 u5B9E u7528
<言语> 在 2024-10-11 上传 | 大小:7145472 | 下载:0
« 1 2 ... .62 .63 .64 .65 .66 3967.68 .69 .70 .71 .72 ... 4311 »

源码中国 www.ymcn.org