资源列表

« 1 2 ... .12 .13 .14 .15 .16 9317.18 .19 .20 .21 .22 ... 14959 »

[Dephi控件源码OO-Delphi

说明:OO - Delphi - In Portuguese(Brazil) - How to develop in OO.
<MiseravelMau> 在 2025-03-01 上传 | 大小:1.36mb | 下载:0

[Dephi控件源码Delivery

说明:Application for Delivery administration
<MiseravelMau> 在 2025-03-01 上传 | 大小:8.94mb | 下载:0

[MacOS编程AN3281

说明:stm8实现一些功能。像I2c协议去实现一些共轭能-use STM8 do some works
<叶鹏> 在 2025-03-01 上传 | 大小:251kb | 下载:0

[易语言编程miniQQ

说明:迷你QQ 易语言源码 可以读取QQ信息 说白了就是简化的QQ 主要通过网页实现-miniQQ easylanguage veryeasy when 2012.4.10 can use
<dragon> 在 2025-03-01 上传 | 大小:420kb | 下载:0

[并行运算Project1

说明:用cuda编写2个数组相加运算,可作为CUDA代码编写的基础-simpl text of cuda
<bcq> 在 2025-03-01 上传 | 大小:628kb | 下载:0

[并行运算scan

说明:用CUDA计算数组的并行求和运算,在下个数据输入前进行上一次的数据计算-This example demonstrates an efficient CUDA implementation of parallel prefix sum, also known as "scan". Given an array of numbers, scan computes a new array in which each element is t
<bcq> 在 2025-03-01 上传 | 大小:12kb | 下载:0

[汇编语言mbr

说明:MBR登陆验证的汇编源码,带有详细注释。-The MBR login verification of assembly source code, with detailed annotations.
<secret> 在 2025-03-01 上传 | 大小:2kb | 下载:0

[MacOS编程object-c

说明:object-c的一本基础教程,一本很好的书,只供学习。-object-c, a basic tutorial, a very good book, only to learn.
<平凡> 在 2025-03-01 上传 | 大小:387kb | 下载:0

[编译器/词法分析compile

说明:词法分析器 基于 C++所编写出来的 易于理解-Syntax analyzer
<陈金炉> 在 2025-03-01 上传 | 大小:550kb | 下载:0

[汇编语言1

说明:加减计数器 library ieee use ieee. std_logic-_1164.all entity dec3_8 is port(a,b,c,s1,s2,s3: in std_logic y: out std_logic_vector(0 to 7)) end architecture b of dec3_8 is si
<镜辰> 在 2025-03-01 上传 | 大小:1kb | 下载:0

[汇编语言sy1

说明:28M分频器 D触发器 jk触发器 library ieee -library ieee use ieee.std_logic_1164.all use ieee.std_logic_arith.all use ieee.std_logic_unsigned.all entity ymq is port(num:in std_logic_vector(3 downto 0)
<镜辰> 在 2025-03-01 上传 | 大小:1kb | 下载:0

[汇编语言sy4

说明:D74LS74 JK74ls112. LIBRARY IEEE USE IEEE.STD_LOGIC_1164.ALL ENTITY D74LS74 is port(clk,clr,PRE,D:in std_logic QT,QTN:out std_logic) end ENTITY D74LS74 architecture bhv of D74LS74 is signal q,qn:st
<镜辰> 在 2025-03-01 上传 | 大小:1kb | 下载:0
« 1 2 ... .12 .13 .14 .15 .16 9317.18 .19 .20 .21 .22 ... 14959 »

源码中国 www.ymcn.org