资源列表

« 1 2 ... .37 .38 .39 .40 .41 3842.43 .44 .45 .46 .47 ... 4311 »

[VHDL编程zzx

说明:这个并串转换代码是依靠同步状态机来实现其控制的。其实并串转换在实际的电路中使用还是比较多的,尤其在通信线路方面的复用和分解方面,原理上就是一个串并转换和并串转换的过程。举个简单的例子,计算机串口发送数据的过程,如果满足发送条件了,其实就是一个并串转换的过程了。好了,废话不说,看代码就是。 写完一看,一个并串转换居然搞了这么大,有点失败。但是整个代码已经通过了后仿真,而且思路还是比较清楚的,可靠性和稳定性方面也应该没有问题滴,呵
<zzx> 在 2024-10-14 上传 | 大小:7168 | 下载:0

[VHDL编程5956446verilog_ppt

说明:具体介绍VHDL的原理,附带相关的例程。欢迎大家收藏下载-Introduced the principle of specific VHDL, incidental related routines. Welcome to the collection download
<李哲> 在 2024-10-14 上传 | 大小:263168 | 下载:0

[VHDL编程shiyandecode38

说明:练习用VHDL设计逻辑,用VHDL设计一个3-8译码器,对其进行时序仿真-VHDL design practice with logic, to use VHDL to design a 3-8 decoder, its timing simulation
<李侠> 在 2024-10-14 上传 | 大小:27648 | 下载:0

[VHDL编程VerilogHDL

说明:Verilog HDL 入门教程,可供参考。-Verilog HDL Started Guide is available for reference.
<jerome> 在 2024-10-14 上传 | 大小:263168 | 下载:0

[VHDL编程ssz

说明:数字钟,用VHDL写的各个模块,顶层用图形编辑,在实验箱上完全通过-Digital clock, using VHDL written by various modules, top-level graphics editing, in the experimental box completely through
<kevin liu> 在 2024-10-14 上传 | 大小:257024 | 下载:0

[VHDL编程VHDL_src_files

说明:这些是我在学习VHDL语言的过程中,自己试验过的以及自己编的一些程序,希望上传和大家分享一下,共同进步!谢谢!-These are my VHDL language in the learning process, and tested their own some of the procedures, I hope to upload and share with you, and common progress! Thanks!
<lijq> 在 2024-10-14 上传 | 大小:71680 | 下载:0

[VHDL编程Xilinx_sparten3E_communication_between_key_board_a

说明:在Xilinx Spartan-3E的开发板中,实现键盘和VGA显示器的通信的源代码,与大家分享:-In the Xilinx Spartan-3E development board, the realization of the keyboard and VGA display the source code of communication to share with you:
<lijq> 在 2024-10-14 上传 | 大小:2048 | 下载:0

[VHDL编程music

说明:设计并调试好一个能产生”梁祝”曲子的音乐发生器,并用EDA实验开发系统(拟采用的实验芯片的型号可选Altera的MAX7000系列的 EPM7128 CPLD ,FLEX10K系列的EPF10K10LC84-3 FPGA, ACEX1K系列的 EP1K30 FPGA,Xinlinx 的XC9500系列的XC95108 CPLD,Lattice的ispLSI1000系列的1032E CPLD)进行硬件验证。 设计思路 根据系统提
<lijq> 在 2024-10-14 上传 | 大小:8192 | 下载:0

[VHDL编程colorful_signal

说明:设计并调试好一个VGA彩条信号发生器,并用EDA实验开发系统(拟采用的实验芯片的型号可选Altera的MAX7000系列的 EPM7128 CPLD ,FLEX10K系列的EPF10K10LC84-3 FPGA, ACEX1K系列的 EP1K30 FPGA,Xinlinx 的XC9500系列的XC95108 CPLD,Lattice的ispLSI1000系列的1032E CPLD)进行硬件验证。 设计思路 由系统提供的时钟源引入
<lijq> 在 2024-10-14 上传 | 大小:7168 | 下载:0

[VHDL编程state_machine

说明:三进程有限状态机的设计程序,内附有AD574逻辑控制真值表以及采样状态机的原理图-Third, the process of finite state machine design process, logic control of typhoons and rainstorms are AD574 truth table, as well as sampling state machine schematic
<lijq> 在 2024-10-14 上传 | 大小:39936 | 下载:0

[VHDL编程R

说明:双向移位寄存器的原理设计程序,对于初学者将会有很大帮助,尤其在设计功能比较复杂的FPGA时,有些问题其实用这个就很简单-The principle of bi-directional shift register the design process, for beginners there will be a great help, especially in the design features of the FPGA more
<lijq> 在 2024-10-14 上传 | 大小:2048 | 下载:0

[VHDL编程examples

说明:内附几十个不同器件的设计原理和设计步骤,很全,大家参考-Containing dozens of different devices design principles and design steps, it is the whole, we refer to
<lijq> 在 2024-10-14 上传 | 大小:113664 | 下载:0
« 1 2 ... .37 .38 .39 .40 .41 3842.43 .44 .45 .46 .47 ... 4311 »

源码中国 www.ymcn.org