资源列表

« 1 2 ... .01 .02 .03 .04 .05 4006.07 .08 .09 .10 .11 ... 4311 »

[VHDL编程CRC

说明:一個CRC-12計算的串入式電路並下載至FPGA電路板-FPGA CRC-16
<TAE> 在 2024-10-11 上传 | 大小:1024 | 下载:0

[VHDL编程msk_mod

说明:msk 调制解调源码,每符号采样8次。对pn码进行调制后,进行解调,解调过程含:符号差分,中值滤波等过程。-msk modem source code, sample 8 times per symbol. Modulation of the pn code after the demodulation, the demodulation process including: symbol differential, the value
<刘进> 在 2024-10-11 上传 | 大小:1024 | 下载:0

[VHDL编程Test

说明:verilog语言编写的分频程序及其testbench测试文件。fpga开发入门的好例子。-verilog divide written test procedures and testbench files. fpga development of entry-a good example.
<刘进> 在 2024-10-11 上传 | 大小:1024 | 下载:0

[VHDL编程cpld_10fenpin

说明:针对cpld芯片采用verilog编程实现的10分频程序。附带其功能仿真文件。-For cpld chip verilog programming of 10 frequency program. With its functional simulation file.
<刘进> 在 2024-10-11 上传 | 大小:1024 | 下载:0

[VHDL编程buzzer

说明:蜂鸣器程序,向蜂鸣器发送一定频率的方波可以使蜂鸣器发出相应的音调-Buzzer program:
<蒋玉> 在 2024-10-11 上传 | 大小:1024 | 下载:0

[VHDL编程shift_reg

说明:Shift reg in vhdl, a first example to start
<GusD> 在 2024-10-11 上传 | 大小:1024 | 下载:0

[VHDL编程sram64kx8

说明:基于VHDL的一种SRAM模块,简单,但是可参考性强-A VHDL-based SRAM modules, simple, but can be refered strongly
<Thomas> 在 2024-10-11 上传 | 大小:1024 | 下载:0

[VHDL编程UART

说明:This vhdl code has a simple implementation of an UART receiver. This code was generated march 2011 as a universuty project
<plcpe> 在 2024-10-11 上传 | 大小:1024 | 下载:0

[VHDL编程REEDSOLOMON

说明:error correct and detect
<jagadesh> 在 2024-10-11 上传 | 大小:1024 | 下载:0

[VHDL编程VHDL

说明:实现异步清零和同步时钟功能的十进制加法计数器-Asynchronous and synchronous clock features clear decimal addition Counter
<栋梁> 在 2024-10-11 上传 | 大小:1024 | 下载:0

[VHDL编程Full-Adder

说明:Full Adder to add 4 bits of input
<med7at2010> 在 2024-10-11 上传 | 大小:1024 | 下载:0

[VHDL编程CPUtest

说明:AU3源码,CPU和内存检测工具,可用于系统部署-AU3 source, CPU and memory testing tool for system deployment
<韩云辉> 在 2024-10-11 上传 | 大小:1024 | 下载:0
« 1 2 ... .01 .02 .03 .04 .05 4006.07 .08 .09 .10 .11 ... 4311 »

源码中国 www.ymcn.org