资源列表

« 1 2 ... .44 .45 .46 .47 .48 3849.50 .51 .52 .53 .54 ... 4311 »

[VHDL编程zy4668_music

说明:本源码实现了用VHDL语言设计音乐播放器-This source code implements the design using VHDL language music player
<张楠> 在 2024-10-14 上传 | 大小:3372032 | 下载:0

[VHDL编程O_DDS_PHASE

说明:包括了DDS设计的全部源码,其中相位和频率均可调,可直接应用于sopc/fpga设计中-DDS design includes all the source code, which can be adjusted for phase and frequency can be directly applied to sopc/fpga design
<anchor> 在 2024-10-14 上传 | 大小:3367936 | 下载:0

[VHDL编程jtag_uart

说明:jtag_uart实现FPGA内部和计算机之间的通信,实时监控方便-jtag_uart achieve FPGA communication between the internal and the computer, real-time monitoring convenience
<王晓杰> 在 2024-10-14 上传 | 大小:3365888 | 下载:0

[VHDL编程verilog

说明:各种基础的Verilog hdl实验的实验报告,包括D触发器,移位寄存器,选择器,译码器等等,有很详细的操作步骤,对于初学者很有用。-All based on Verilog hdl experiments are reported, including the D flip-flops, shift registers, selectors, decoders, etc., there are detailed steps, usef
<yangshisong> 在 2024-10-14 上传 | 大小:3365888 | 下载:0

[VHDL编程SDRAM

说明:非常简单好用的SDRAM控制器,使初学者更加容易理解SDRAM的控制的操作,在Quatrtus环境中验证没问题。-SDRAM controller is very simple and easy to make it easier for beginners to understand the operation of the control of SDRAM, the environment in Quatrtus verify no
<马 召 > 在 2024-10-14 上传 | 大小:3365888 | 下载:0

[VHDL编程shudianshiyan

说明:数字电路与逻辑设计实验编程,包含多功能电子钟程序,实用,简易-Digital circuits and logic design experiments programming, including multi-function electronic clock procedures, practical, simple
<sunnxbest> 在 2024-10-14 上传 | 大小:3367936 | 下载:0

[VHDL编程color_vga.tar

说明:VGA DIsplay control. which reads pixel data stored in coregen on fpga and displays image on monitor using VGA
<Minesh> 在 2024-10-14 上传 | 大小:3366912 | 下载:0

[VHDL编程FIR

说明:Quartus II中滤波器的设计,里面含有高通滤波器,低通滤波器,带阻滤波器,主要用于滤除心电信号中的干扰-Quartus II filter design, which contains a high-pass filter, low-pass filter, band stop filter, mainly used for filtering of ECG signal interference
<> 在 2024-10-14 上传 | 大小:3363840 | 下载:1

[VHDL编程IDT7005

说明:双端口静态RAM的VHDL程序,具体芯片型号为IDT7005-DUAL-PORT STATIC RAM
<shufengxiong> 在 2024-10-14 上传 | 大小:3373056 | 下载:0

[VHDL编程taxivalue

说明:我用FPGA来实现,这是一个出租车计价器,用来计算里程,我已在Quartus 2实现。-I used the FPGA to achieve, this is a taxi meter, calculate the mileage, I have been in quartus 2 to achieve.
<宋冀生> 在 2024-10-14 上传 | 大小:3366912 | 下载:0

[VHDL编程ethernet

说明:在xilinx用verilog实现工业以太网的全部文件-industrial ethernet in xilinx
<姜智> 在 2024-10-14 上传 | 大小:3373056 | 下载:0

[VHDL编程buzzer_piano

说明:实现一个简单的钢琴功能。按下按键K1~K6,BUZZER分别发出DO、RE、ME、FA、SO、LA六个音符。-To achieve a simple piano function. Press the keys K1 ~ K6, BUZZER issued DO, RE, ME, FA, SO, LA six notes.
<谢炀> 在 2024-10-14 上传 | 大小:3369984 | 下载:0
« 1 2 ... .44 .45 .46 .47 .48 3849.50 .51 .52 .53 .54 ... 4311 »

源码中国 www.ymcn.org