资源列表

« 1 2 ... .59 .60 .61 .62 .63 4264.65 .66 .67 .68 .69 ... 4311 »

[VHDL编程pwm

说明:本程序可以实现输出不同占空比(0-100)和不同频率的pwm波形;满足驱动不同硬件的需求;(This program can output PWM waveforms with different duty cycles (0-100) and different frequencies, and meet the needs of different hardware drivers.)
<DA北岛> 在 2024-10-05 上传 | 大小:7469056 | 下载:0

[VHDL编程C5G_SRAM_RTL_Test

说明:官网c5板子的SRAM工程,可以直接一直使用。(The SRAM project of official website C5 board can be used directly)
<橙子很好吃> 在 2024-10-05 上传 | 大小:487424 | 下载:0

[VHDL编程nhan 4 bit

说明:example about multiple booth 2 in vhdl
<tahuu> 在 2024-10-05 上传 | 大小:233472 | 下载:0

[VHDL编程xapp794

说明:里面分为八个实验,一步一步教你使用system genertor for dsp 生成能供vivado使用的IP核文件。(It is divided into eight experiments, which teach you to use system genertor for DSP step by step to generate IP core files that can be used for vivado.)
<锤子1998> 在 2024-10-05 上传 | 大小:41696256 | 下载:0

[VHDL编程uart程序

说明:UART接口程序,UART字符发送函数,UART字符接收函数,主函数等(the connect of uart)
<DTGo> 在 2024-10-05 上传 | 大小:11264 | 下载:0

[VHDL编程led

说明:verilog 控制 led灯 基于FPGA开发板(Verilog control LED lamp based on FPGA development board)
<你亲爱的父亲> 在 2024-10-05 上传 | 大小:7168 | 下载:0

[VHDL编程16QAM

说明:可以实现随机序列和16QAM的仿真,verilog语言编程,modelsim和QUARTUS联合仿真(It can realize the simulation of random sequence and 16QAM, Verilog language programming, Modelsim and QUARTUS co simulation.)
<vincent-7> 在 2024-10-05 上传 | 大小:5567488 | 下载:0

[VHDL编程jishuqi

说明:FPGA应用底层开发的逻辑单元slice连线实现计数器的功能,包含代码及仿真(FPGA applies the logic unit slice connection that is developed at the bottom to realize the function of counter, including code and simulation.)
<ltfy咖啡> 在 2024-10-05 上传 | 大小:6642688 | 下载:0

[VHDL编程cpuzl

说明:实现18位操作指令实现PC指针的变化,及得到对应地址的操作指令(Implement 18 bit operation instructions to realize change of pointer and obtain operation instructions corresponding to corresponding address)
<ltfy咖啡> 在 2024-10-05 上传 | 大小:8635392 | 下载:0

[VHDL编程ztj

说明:底层基本逻辑单元实现状态机的功能,根据不同的控制位实现状态转化(Basic logic unit realizes state machine function and realizes state transformation according to different control bits)
<ltfy咖啡> 在 2024-10-05 上传 | 大小:1969152 | 下载:0

[VHDL编程Verilog黄金指南中文版

说明:verilog语言资料,很有效的,比夏雨闻的要好用易懂,希望有帮助(Verilog language data, very effective, better than Xia Yu's smell, easy to understand, and I hope it helps.)
<冯猜猜2> 在 2024-10-05 上传 | 大小:470016 | 下载:0

[VHDL编程SOPC LED实验

说明:通过Quartus II、SOPC Builder、Nios II IDE三种工 具的配合使用 用软件控制led,VHDL程序通过描述硬件电路控制led的依次亮灭(Through the Quartus II, SOPC Builder, Nios II IDE three workers With the use Use software to control led, VHDL program by describing
<UGG> 在 2024-10-05 上传 | 大小:4795392 | 下载:0
« 1 2 ... .59 .60 .61 .62 .63 4264.65 .66 .67 .68 .69 ... 4311 »

源码中国 www.ymcn.org