资源列表

« 1 2 ... .33 .34 .35 .36 .37 4038.39 .40 .41 .42 .43 ... 4311 »

[VHDL编程paobiao

说明:使用verilog实现跑表计时功能,已经验证过,能够实现功能-Use verilog to achieve run time function
<yang> 在 2024-10-10 上传 | 大小:17112064 | 下载:0

[VHDL编程arinc429_transmitter

说明:Simple Arinc-429 transmitter channel descr iption on Verilog HDL with parameterized DATA FIFO.
<scnn86> 在 2024-10-10 上传 | 大小:4096 | 下载:1

[VHDL编程apb_spi

说明:Simple SPI interface realization on Verilog HDL with parameterized FIFO and APB interface
<scnn86> 在 2024-10-10 上传 | 大小:11264 | 下载:0

[VHDL编程apb_i2c

说明:Simple realization of I2C interface on System Verilog HDL with support of interrupt generation.
<scnn86> 在 2024-10-10 上传 | 大小:6144 | 下载:0

[VHDL编程ahb_ebc

说明:Sipmle external bus controller realization on Verilog HDL with AHB interface. Support RAM/ROM/NAND Flash devices.
<scnn86> 在 2024-10-10 上传 | 大小:10240 | 下载:0

[VHDL编程timer

说明:Simple 32-bit timer realization with APB interface with support of interrupt generation and switching clock source.
<scnn86> 在 2024-10-10 上传 | 大小:3072 | 下载:0

[VHDL编程jtag_slave.4

说明:1.1 Compliant with IEEE 1149.1 1.2 Support mandatory BYPASS, SAMPLE/PRELOAD, EXTEST instructions 1.3 Support user register connection beetween TDI-TDO 1.4 Boundary-scan register consist of cell type BC_1
<scnn86> 在 2024-10-10 上传 | 大小:2048 | 下载:0

[VHDL编程adc7606

说明:给FPGA程序,使之产生信号,驱动AD7606读取数据,并行模式。-give FPGA signal to read AD7606
<冯琨> 在 2024-10-10 上传 | 大小:1024 | 下载:1

[VHDL编程vhdl

说明:(1) 在十字路口的两个方向上各设一组红、绿、黄灯,显示顺序依次为红灯-绿灯-黄灯-红灯。 南北方向和东西方向红灯绿灯相反。南北方向红灯显示时间为东西方向黄绿灯显示时间的总和,同理,东西方向红灯显示时间为南北方向黄绿灯显示时间的总和。 (2) 设置一组数码管,以倒计时的方式显示允许通行或禁止通行的时间,其中绿灯、黄灯、 红灯的持续时间分别是7s、2s和9s。 -(1) a set of red, green and yello
<陈杰> 在 2024-10-10 上传 | 大小:1071104 | 下载:0

[VHDL编程DA_TLC5620vhd

说明:通过4个按键选择不同通道,每个通道的数字量输入都可以在数码管上进行显示。 松开按键,则计数显示保持前一状态,按下按键继续计数。设置复位键,按下复位键,系统清零。 每个通道对应的模拟电压通过LED的亮度显示。-Through the 4 keys to different channels, each channel digital input can be displayed on the digital tube.
<陈杰> 在 2024-10-10 上传 | 大小:1843200 | 下载:0

[VHDL编程pipelined_fft_256

说明:fft 256点傅里叶变换,功能正确、好用-fft 256 point Fourier transform function properly, easy to use
<林立强> 在 2024-10-10 上传 | 大小:222208 | 下载:0

[VHDL编程333

说明:课程设计设计主要使用了VHDL语言,采用的开发软件是Quartus-II,设计一个循环彩灯控制器和数字显示秒表。在Quartus-II开发平台下进行了编译、仿真。-Cycle lantern controller and digital display stopwatch
<麦琪> 在 2024-10-10 上传 | 大小:191488 | 下载:0
« 1 2 ... .33 .34 .35 .36 .37 4038.39 .40 .41 .42 .43 ... 4311 »

源码中国 www.ymcn.org