资源列表

« 1 2 ... .11 .12 .13 .14 .15 3816.17 .18 .19 .20 .21 ... 4311 »

[VHDL编程buzzer_sos

说明:verilog语言编写的能有次序控制输出莫斯密码SOS的模块。-verilog language written in order to have control of the module output Moss SOS password.
<陈忠德> 在 2024-10-14 上传 | 大小:1024 | 下载:0

[VHDL编程PS2

说明:基于verilog语言不编写的键盘的PS2接口解码程序。-Verilog language is not written on the PS2 keyboard interface to the decoding process.
<陈忠德> 在 2024-10-14 上传 | 大小:2048 | 下载:0

[VHDL编程VGA_module

说明:基于verilog语言编写的VGA协议的程序,用以驱动VGA接口的显示屏-Based verilog language VGA protocol procedures to drive VGA display interface
<陈忠德> 在 2024-10-14 上传 | 大小:5120 | 下载:0

[VHDL编程AD_sample

说明:AD采集模块,设计模块采集AD5270的输出数据-AD Collection module Design module to collect the output data of AD5270
<张黑> 在 2024-10-14 上传 | 大小:62464 | 下载:0

[VHDL编程basys3_basic_demo

说明:Basys 3 开发板的自带程序,包括LED 数码管 按键 鼠标等各项功能的演示。-Basys 3 development board comes with the program, including the LED digital control buttons and other functions of the mouse.
<罗密> 在 2024-10-14 上传 | 大小:344064 | 下载:0

[VHDL编程Display_7seg

说明:Basys 3 开发板入门实验,按键控制7段数码管显示试验。-Basys 3 development board entry test, key control of the 7 section of the digital tube display test.
<罗密> 在 2024-10-14 上传 | 大小:486400 | 下载:0

[VHDL编程Oscilloscope

说明:Basys 3 示波器工程源代码,可以参考。-Basys 3 oscilloscope source code, can refer to.
<罗密> 在 2024-10-14 上传 | 大小:1549312 | 下载:0

[VHDL编程spi_flash_VHDL

说明:winbon 的芯片w25p16 驱动,使用VHDL语言,输入时钟为125M,只要稍微修改IDLE里面的跳转状态机就能跳转到各个读写,或是擦除状态。-the chip is winbon w25p16. vhdl language. the sysclk is 125m. it is easy to jump to write , read, or erase status by change idle status.
<钱愈玉> 在 2024-10-14 上传 | 大小:2048 | 下载:2

[VHDL编程my_second_fpga

说明:用Quartus ii13.0写的二进制加法器,使用了IP核RAM,以及LCD显示,打开就能直接使用。-Using Quartus ii13.0 write binary adder, using the IP core RAM, and LCD display, open can be used directly.
<> 在 2024-10-14 上传 | 大小:6053888 | 下载:0

[VHDL编程my_temp

说明:使用Quartus ii 13.0 写的读取DS18B20的工程文件,将读到的结果显示在LCD上并存储到RAM中。-Using Quartus ii 13.0 reading project file written DS18B20 will read the results displayed on the LCD and stored in RAM.
<> 在 2024-10-14 上传 | 大小:3824640 | 下载:0

[VHDL编程viterbi-decoder-verilog

说明:viterbi verilog implemetation based matlab-viterbi verilog implemetation based matlab
<kim jan> 在 2024-10-14 上传 | 大小:1088512 | 下载:0

[VHDL编程practise

说明:FPGA实验板设计一个数字跑表。根据题目要求利用VHDL语言设计出一个系统,包括分频器,开关消抖,使能控制,计数器,锁存器,数据选择器及显示译码器。-FPGA experimental board design a digital stopwatch. According to subject the use of VHDL language to design a system, including the divider, swit
<郑晓> 在 2024-10-14 上传 | 大小:6653952 | 下载:0
« 1 2 ... .11 .12 .13 .14 .15 3816.17 .18 .19 .20 .21 ... 4311 »

源码中国 www.ymcn.org