资源列表

« 1 2 ... .25 .26 .27 .28 .29 27030.31 .32 .33 .34 .35 ... 33934 »

[微处理器(ARM/PowerPC等)STM32-watchdog

说明:基于神舟STM32 1号的实验 独立看门狗实验-No. Based on experimental Shenzhou STM32 1 independent watchdog experiment
<李健全> 在 2024-10-10 上传 | 大小:817152 | 下载:0

[嵌入式Linuxu-blox5

说明:u-blox5_Chipset_Protocol_Specifications NEMA数据详解,u-blox5 GPS芯片说明-u-blox5 GPS declare
<linsheng> 在 2024-10-10 上传 | 大小:817152 | 下载:0

[其他嵌入式/单片机内容PCB-wiring-experience

说明:PCB布线经验,很好的文章,电子设计,嵌入式适用-PCB wiring experience, very good article, electronic design, embedded apply
<小亮> 在 2024-10-10 上传 | 大小:817152 | 下载:0

[DSP编程cap_video_out_test

说明:TMS320DM642采集图像并显示图像到屏幕上-TMS320DM642 image acquisition and display images on the screen
<何代华> 在 2024-10-10 上传 | 大小:817152 | 下载:0

[单片机(51,AVR,MSP430等)qMikroIDE_v1.0_src

说明:qMikroIDE for AVR Assembler
<Damian> 在 2024-10-10 上传 | 大小:817152 | 下载:0

[其他嵌入式/单片机内容hot-printer-device

说明:热敏打印机驱动,急于LPC1700,包含液晶的热敏打印机-hot printer device
<邓卓健> 在 2024-10-10 上传 | 大小:817152 | 下载:0

[单片机(51,AVR,MSP430等)485

说明:详细说明485通讯的过程,对单片机与外界通讯需要注意的事项做了比较详尽的说明,附有硬件电路图。-Describe the 485 process, a more detailed explanation microcontroller to communicate with the outside world needs to pay attention to matters to do with the hardware circui
<李珍义> 在 2024-10-10 上传 | 大小:817152 | 下载:0

[VHDL编程VHDLmimasuo

说明:重置密码功能、密码开锁功能、输入清除功能、警报功能、钥匙切换功能-Reset the password function, password lock function, enter the clear function, alarm function, key switching function
<陈大伟> 在 2024-10-10 上传 | 大小:817152 | 下载:0

[单片机(51,AVR,MSP430等)LC1602

说明:利用51单片机实现串口通信,简单易学,适合51初学者。-Use of 51 single-chip microcomputer to to achieve the serial communication, is simple and easy to learn, suitable for 51 beginners.
<> 在 2024-10-10 上传 | 大小:817152 | 下载:0

[嵌入式/单片机编程MSP430 Software for bq

说明:主控为msp430 使用TI的bq27541(The main control is MSP430, using TI's bq27541)
<林国瀚 > 在 2024-10-10 上传 | 大小:817152 | 下载:0

[微处理器(ARM/PowerPC等)lu

说明:16位MIPS指令集,VHDL实现,非常简单,非常粗暴(library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all;)
<nodgd > 在 2024-10-10 上传 | 大小:817152 | 下载:0

[VHDL编程Assignment_2_ver.3

说明:Small ALU with adder and multiplier, reworked
<Ivrine> 在 2024-10-10 上传 | 大小:817152 | 下载:0
« 1 2 ... .25 .26 .27 .28 .29 27030.31 .32 .33 .34 .35 ... 33934 »

源码中国 www.ymcn.org