资源列表
[VHDL编程] USB_ReferenceDesign
说明:本程序usb的接口程序,用的工具是ISE,实现usb和pc主机之间的通信,所用的USB芯片是FT245BM.-the procedures usb interface procedure, the ISE tools, pc achieve usb and communications between the mainfr a me, using a USB chip is FT245BM.<陈文祥> 在 2025-01-23 上传 | 大小:799kb | 下载:0
[VHDL编程] DS18B20+VHDL
说明:用VHDL语言实现的控制DS18B20构成测温仪表的程序,包含了全部代码,可显示最高精度-with VHDL control DS18B20 constitute Thermometer procedures, contains all the code will show that the most high-precision<刘西圣> 在 2025-01-23 上传 | 大小:799kb | 下载:0
[微处理器(ARM/PowerPC等)] LPC824_Example_Code_Bundle_Keil_r1.0
说明:LPC82X的官方源代码,使用KEIL编程环境,LPC82X的官方源代码,使用KEIL编程环境-LPC82X official source code, the use of KEIL programming environment, LPC82X official source code, the use of KEIL programming environment<王培金> 在 2025-01-23 上传 | 大小:798kb | 下载:0
[VHDL编程] noc
说明:this the final project report on VHDL development on noc-this is the final project report on VHDL development on noc<divyaramkumar> 在 2025-01-23 上传 | 大小:798kb | 下载:0
[VHDL编程] REPORT(1)
说明:final sem project report completed one on network on chip data processing<divyaramkumar> 在 2025-01-23 上传 | 大小:798kb | 下载:0
[uCOS开发] 基于原子的核心板ucosiii模版
说明:stm32f407-ucosiii程序模版(Stm32f407-ucosiii program template)<mizia > 在 2025-01-23 上传 | 大小:798kb | 下载:0
[单片机(51,AVR,MSP430等)] MPCM_100_V2.0
说明:MSP430F247 实现串口,SMBUS,IIC,定时器功能(MSP430F247,USART,SMbus,IIC,timer)<Reagan2016 > 在 2025-01-23 上传 | 大小:798kb | 下载:0
[嵌入式/单片机编程] MSP430 Software for bq
说明:主控为msp430 使用TI的bq27541(The main control is MSP430, using TI's bq27541)<林国瀚 > 在 2025-01-23 上传 | 大小:798kb | 下载:0
[微处理器(ARM/PowerPC等)] lu
说明:16位MIPS指令集,VHDL实现,非常简单,非常粗暴(library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all;)<nodgd > 在 2025-01-23 上传 | 大小:798kb | 下载:0
[VHDL编程] Assignment_2_ver.3
说明:Small ALU with adder and multiplier, reworked<Ivrine> 在 2025-01-23 上传 | 大小:798kb | 下载:0