搜索资源列表

  1. 除法器

    0下载:
  2. 通过用硬件描述语言(VHDL)描述除法器,并进行模拟验证,加深对二进制数运算方法的理解。 设计平台:MaxPlusII 压缩文件内有详细设计报告 -by using Hardware Descr iption Language (VHDL) Descr iption division, and conduct simulation shows that the binary number deepen understandi
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:50091
    • 提供者:johnmad
  1. 1.7运算器部件实验:除法器

    0下载:
  2. 这个是用vhdl语言编写的除法器,仅仅供大家参考.-the VHDL language is used to prepare for the division, just for reference.
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:152080
    • 提供者:李乐雅
  1. fpdiv_vhdl四位除法器

    0下载:
  2. fpdiv_vhdl四位除法器 -- DEscr iptION : Signed divider -- A (A) input width : 4 -- B (B) input width : 4 -- Q (data_out) output width : 4 -- DIV_BY_0 (DIVz) output active : high-fpdiv_vhdl four divider -- DEscr iptIO
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:983
    • 提供者:张洪
  1. vhdl实现除法器

    0下载:
  2. vhdl实现除法器
  3. 所属分类:其它资源

    • 发布日期:2010-10-27
    • 文件大小:1050
    • 提供者:sunchao1228
  1. div2 32位除法器

    0下载:
  2. :32位除法器 被除数和除数均为16位整数,16位小数 商为32位整数,16位小数 余数为16位整数,16位小数 Verilog HDL 代码-32
  3. 所属分类:Windows编程

  1. VHDL除法器

    0下载:
  2. 用vhdl实现除法器,很好用,经过验证!
  3. 所属分类:源码下载

  1. 除法器verilog

    0下载:
  2. 32位除法器,verilog编写
  3. 所属分类:源码下载

  1. fpdiv_vhdl

    0下载:
  2. 四位除法器的VHDL源程序-four division of VHDL source
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:1024
    • 提供者:张庆辉
  1. 除法器

    0下载:
  2. 通过用硬件描述语言(VHDL)描述除法器,并进行模拟验证,加深对二进制数运算方法的理解。 设计平台:MaxPlusII 压缩文件内有详细设计报告 -by using Hardware Descr iption Language (VHDL) Descr iption division, and conduct simulation shows that the binary number deepen understandi
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:50176
    • 提供者:johnmad
  1. subr

    0下载:
  2. VHDL 8位无符号除法器 试验报告 计算前在A和B端口输入被除数和除数,然后在Load线上送高电平,把数据存到除法计算电路内部,然后经过若干个时钟周期,计算出商和余数,并在C和D端输出。 其实现方法是,将除法器分为两个状态:等待状态与运算状态。 开始时除法器处于等待状态,在该状态,在每一时钟上升沿,采样Load信号线,若是低电平,则仍处于等待状态,如果采样到高电平,除法器读取A,B数据线上的输入数据,保存到内部寄存器a_r
  3. 所属分类:ISAPI/IE编程

    • 发布日期:2024-05-10
    • 文件大小:82944
    • 提供者:aa
  1. 数字系统设计教程4_9

    0下载:
  2. vhdl的几个编程,4位除法器的设计和原理说明,还有8位CPU设计-VHDL programming, the four division and the design principle that there are eight CPU Design
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:244736
    • 提供者:刘建
  1. 数字系统设计相关

    0下载:
  2. 这是有关VHDL的相关源代码,有简易CPU、加法器、除法器、计数器等-This is the relevance of the VHDL source code, a simple CPU, Adder, Divider, counters, etc.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:45056
    • 提供者:刘建
  1. 1.7运算器部件实验:除法器

    0下载:
  2. 这个是用vhdl语言编写的除法器,仅仅供大家参考.-the VHDL language is used to prepare for the division, just for reference.
  3. 所属分类:其他小程序

    • 发布日期:2024-05-10
    • 文件大小:151552
    • 提供者:李乐雅
  1. fpdiv_vhdl四位除法器

    0下载:
  2. fpdiv_vhdl四位除法器 -- DEscr iptION : Signed divider -- A (A) input width : 4 -- B (B) input width : 4 -- Q (data_out) output width : 4 -- DIV_BY_0 (DIVz) output active : high-fpdiv_vhdl four divider-- DEscr iptION
  3. 所属分类:其他小程序

    • 发布日期:2024-05-10
    • 文件大小:1024
    • 提供者:张洪
  1. DIVIDER

    0下载:
  2. 除法器,这是一个简单的除法器,虽然位数不是很长,但是可以通过这个程序延伸-divider, which is a simple divider, while the median is not very long, but it extends through this procedure
  3. 所属分类:其他小程序

    • 发布日期:2024-05-10
    • 文件大小:1024
    • 提供者:田晓雷
  1. VHDL5

    0下载:
  2. 加法器 乘法器电路 除法器电路设计 键盘扫描电路设计 显示电路-Adder multiplier circuit divider circuit design keyboard scan circuit design show circuit, etc.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:6144
    • 提供者:
  1. fixed_pointDivider

    0下载:
  2. 本人编写的定点除法器,开发软件为XILINX的ISE6.2,通过PAR仿真.-I prepared for the sentinel division, the development of software for the ISE6.2 Xilinx, PAR through simulation.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:397312
    • 提供者:litao
  1. divider1

    0下载:
  2. FPGA 除法器程序-FPGA divider procedures
  3. 所属分类:数学计算/工程计算

    • 发布日期:2024-05-10
    • 文件大小:1024
    • 提供者:
  1. changyongdevhdl

    0下载:
  2. 4位乘法器,4位除法器 8位数据锁存器,8位相等比较器,带同步复位的状态 机,元件例化与层次设计,最高优先级编码器-four multipliers, dividers four eight data latches, and eight other phase comparators, synchronous reset with the state machine, the component level with the
  3. 所属分类:文档资料

    • 发布日期:2024-05-10
    • 文件大小:11264
    • 提供者:刘思雄
  1. arban

    0下载:
  2. 这是一个用verilog实现的除法器代码。-This is a realization of the use verilog divider code.
  3. 所属分类:并行运算

    • 发布日期:2024-05-10
    • 文件大小:1024
    • 提供者:arban
« 12 3 4 5 6 7 8 9 10 ... 14 »

源码中国 www.ymcn.org