搜索资源列表

  1. 用一位全加器组成四位全加器

    0下载:
  2. 用一位全加器组成四位全加器. 所用语言是Verilog HDL. 主要用在加法器的设计中。-All-Canadian with a composed four-adder. The language used is the Verilog HDL. In addition main The design.
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:3633
    • 提供者:*
  1. fpdiv_vhdl四位除法器

    0下载:
  2. fpdiv_vhdl四位除法器 -- DEscr iptION : Signed divider -- A (A) input width : 4 -- B (B) input width : 4 -- Q (data_out) output width : 4 -- DIV_BY_0 (DIVz) output active : high-fpdiv_vhdl four divider -- DEscr iptIO
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:983
    • 提供者:张洪
  1. 四位十进制数字频率计2

    0下载:
  2. 数字电路设计——四位十进制数字频率计-digital circuit design -- four decimal digits Cymometer
  3. 所属分类:图形图象

    • 发布日期:2008-10-13
    • 文件大小:100194
    • 提供者:王林
  1. 四位移位寄存器用vhdl语言设计

    0下载:
  2. 四位移位寄存器用vhdl语言设计
  3. 所属分类:VHDL编程

    • 发布日期:2011-11-15
    • 文件大小:10752
    • 提供者:suzhouren
  1. 四位数码管应用

    0下载:
  2. 74hc164驱动数码管段,74hc138驱动数码管位选,实时动态扫描,已用于实际项目开发,稳定,修改延时可改变亮度和闪烁时间。
  3. 所属分类:源码下载

    • 发布日期:2011-11-22
    • 文件大小:55883
    • 提供者:bravejt@126.com
  1. eda四位加法器

    0下载:
  2. eda四位加法器
  3. 所属分类:源码下载

  1. 四位十进制数字频率计2

    0下载:
  2. 数字电路设计——四位十进制数字频率计-digital circuit design-- four decimal digits Cymometer
  3. 所属分类:图形图象

    • 发布日期:2024-05-09
    • 文件大小:100352
    • 提供者:
  1. 用一位全加器组成四位全加器

    0下载:
  2. 用一位全加器组成四位全加器. 所用语言是Verilog HDL. 主要用在加法器的设计中。-All-Canadian with a composed four-adder. The language used is the Verilog HDL. In addition main The design.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-09
    • 文件大小:3072
    • 提供者:*
  1. fpdiv_vhdl四位除法器

    0下载:
  2. fpdiv_vhdl四位除法器 -- DEscr iptION : Signed divider -- A (A) input width : 4 -- B (B) input width : 4 -- Q (data_out) output width : 4 -- DIV_BY_0 (DIVz) output active : high-fpdiv_vhdl four divider-- DEscr iptION
  3. 所属分类:其他小程序

    • 发布日期:2024-05-09
    • 文件大小:1024
    • 提供者:张洪
  1. 164

    0下载:
  2. DS1302的完整C程序,用到了四位八段数码管显示,同时也用了74LS164,大家有空可以看看哈。-DS1302 integrity C procedures used in the four eight-Digital Display, also spent 74 LS164, we can look at the availability Kazakhstan.
  3. 所属分类:汇编语言

    • 发布日期:2024-05-09
    • 文件大小:2048
    • 提供者:ximuyi
  1. TC0counter

    0下载:
  2. AVR单片机atmega16的定时计数器T0实现四位加减计数器,led并行显示-AVR atmega16 the realization Arithmometer T0 4 Modified counter, led parallel show
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-09
    • 文件大小:25600
    • 提供者:
  1. TIME.C

    0下载:
  2. 用四位数码管显示时间源程序 用四位数码管显示时间源程序-Using four source digital tube display time using four digital tube display time source
  3. 所属分类:压缩解压

    • 发布日期:2024-05-09
    • 文件大小:1024
    • 提供者:SHANXIDBJ
  1. myproject

    0下载:
  2. 四位全加器,VHDL语言,max+plusII平台做的-Four full-adder, VHDL language, max+ PlusII platform to do
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-09
    • 文件大小:56320
    • 提供者:邱飞
  1. fadder4

    0下载:
  2. VHDL实现四位全加器,适合初学者,源程序下载-VHDL realization of four full adder, suitable for beginners, the source code download
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-09
    • 文件大小:112640
    • 提供者:黄利
  1. intcount

    0下载:
  2. 用整数形式实现四位加法计数器的一个源程序-Realize the four forms with integer addition, a source counters
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-09
    • 文件大小:1024
    • 提供者:chenwen
  1. VHDLsiweiquanjiaqqi

    0下载:
  2. 这是一个利用MAX PULL 制作的VHDL的四位全加器的程序 如果有需要仿真图的 请叫站长联系我-This is a MAX PULL using VHDL produced four full-adder process simulation map, if necessary please contact me call station
  3. 所属分类:汇编语言

    • 发布日期:2024-05-09
    • 文件大小:1024
    • 提供者:郭明磊
  1. PIC-clock

    0下载:
  2. 本作品采用Atmel公司的AT89C51单片机,以汇编语言为程序设计的基础,设计一个用四位数码管显示时、分的时钟。在实物图中,左边靠近电源的绿色发光二极管(长亮)是电源指示灯,表示的是5V稳定电源工作正常;单片机左下角红色发光二极管是秒灯,每闪烁一次表示时间走动一秒钟;按键正上方绿色发光二极管是设置灯,当时间正常走动时此时不亮,当第一次按下设置键(右键)时,此绿灯亮,同时秒时熄灭,且分钟的两位数码管出现闪烁,时间停止走动,进入校时状态,
  3. 所属分类:软件工程

    • 发布日期:2024-05-09
    • 文件大小:478208
    • 提供者:江俊
  1. 4位时间数码管模块 LED显示 四位串行 595驱动

    0下载:
  2. 4位时间数码管模块 LED显示 四位串行 595驱动(4 bit time digital tube module LED displays four bit serial 595 driver)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-09
    • 文件大小:58368
    • 提供者:QIUYETAO
  1. si四位加法器

    0下载:
  2. 内含三个普通的四位加法器,adder,adder4-2,adder4-3(library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity full_adder is port( a,b,ci :in std_logic; s,co :out std_logic); end entity; architecture rtl
  3. 所属分类:其他小程序

    • 发布日期:2024-05-09
    • 文件大小:28672
    • 提供者:小柠
  1. TM1650四位共阴数码管模块配套资料

    0下载:
  2. TM1650芯片驱动四位共阴数码管。即模块配套资料。(Supporting data of tm1650 four digit common cathode nixie tube module.)
  3. 所属分类:JSP源码/Java

    • 发布日期:2024-05-09
    • 文件大小:1156096
    • 提供者:Guodong1
« 12 3 4 5 6 7 8 9 10 ... 50 »

源码中国 www.ymcn.org