搜索资源列表

  1. 4bitnum

    0下载:
  2. 1、 题目:已知数据文件source.txt中存有20个四位整数, 读取文件中的这些数,然后统计每个四位数各个位上数字是0或2或4或6或8的数的个数,并把这些四位数的个数和这些四位数按从大到小的顺序存入dest.txt文件中。
  3. 所属分类:文件操作

    • 发布日期:2008-10-13
    • 文件大小:12827
    • 提供者:Thons
  1. 7290test

    0下载:
  2. 利用键盘显示专用驱动芯片7290,此程序通过测试能够驱动4*4键盘及四位数码显示
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:47564
    • 提供者:融融
  1. AE182-DS18B20

    0下载:
  2. 温度显示用四位数码管,当温度>=100度时,第四位开始显示。 第五位数码管显示状态符号。 开机检测 DS18B20 状态: DS18B20正常显示: O 18.8 ← 显示实时温度和加热标记“O” DS18B20不正常显示: 黑屏、蜂鸣器一直响。
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:29848
    • 提供者:李东
  1. guess

    0下载:
  2. 猜数字游戏代码。用户输入猜测的四位数字,系统给出用户猜测的结果(包括是否猜对位置、是否猜对数字及猜对位置或数字的个数,A代表位置数字猜测正确,B代表数字对位置不对)
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:6253
    • 提供者:于静
  1. 4_Adder_Unique

    0下载:
  2. Quartus2实现的四位进制并行加法器 用VHDL语言实现
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:169661
    • 提供者:李若珍
  1. seg7_1a

    0下载:
  2. 输入一个四位二进制数,使用拨码开关表示,使发光二极管显示这四位二进制数。
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:207007
    • 提供者:fishafish
  1. fadder4

    0下载:
  2. VHDL实现四位全加器,适合初学者,源程序下载
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:113203
    • 提供者:黄利
  1. ds18b20

    0下载:
  2. AT89S52 DS18B20单点温度测量程序 四位数码官显示
  3. 所属分类:C#编程

    • 发布日期:2008-10-13
    • 文件大小:1202
    • 提供者:fengkb
  1. main

    0下载:
  2. 能够详细测量正负温度的且小数点后四位的测温系统
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:1485
    • 提供者:梁德
  1. paixu

    0下载:
  2. 由键盘输入八个四位整数,排序后由LED依次显示出,间隔2.5秒
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:25663
    • 提供者:gavin
  1. csbcj

    0下载:
  2. 51单片机控制的超声波测距程序,c语言,四位共阳极数码管显示。
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:2077
    • 提供者:武辉
  1. interrupt_a

    0下载:
  2. 把4*4键盘的输入码型在四位的七段数码管上显示出来
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:2126
    • 提供者:余伟鹏
  1. VHDL_add_4

    0下载:
  2. 本程序完成带进位输入输出的四位二进制加法运算,编程思想采用真值表转换成布尔方程式,利用循环语句将一位全加器编为四位加法器。
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:94759
    • 提供者:韩善华
  1. DTXS

    0下载:
  2. Verilog HDL编写的四位数码管动态显示程序,外围电路用CPLD来实现
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:852
    • 提供者:yangytp
  1. AAA

    0下载:
  2. 基于数码管的四位动态同步显示,内有asm程序、原理图
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:46120
    • 提供者:魔豆
  1. xianshi

    0下载:
  2. 四位数码管顺序显示1-9999.每秒钟刷新一次
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1265
    • 提供者: 罗麒
  1. shuzidianyabiao

    0下载:
  2. 利用单片机AT89S51与ADC0809设计一个数字电压表,能够测量0-5V之间的直流电压值,四位数码显示,但要求使用的元器件数目最少
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:12150
    • 提供者:zhoujianjun
  1. seg7_1

    0下载:
  2. 用VHTL描述7段数码管器,输入为一个四位二进制,在数码管上显示数字的同时也显示这四位二进制。使用了port map语句
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:127182
    • 提供者:wx
  1. eecadd_8

    0下载:
  2. 此程序用VHDL语言编写,在四位加法器基础上完成8位二进制加法,输出是BCD码
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:196429
    • 提供者:韩善华
  1. eecadd_4

    0下载:
  2. 此程序采用VHDL语言,完成四位二进制数的加法,并且输出是BCD码
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:41828
    • 提供者:韩善华
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 50 »

源码中国 www.ymcn.org