搜索资源列表

  1. sub4

    0下载:
  2. 用VHDL语言编写的两个四位二进制数相减,其结果会出现进位-using VHDL prepared by the two four binary-phase reduction, and the results will be there to rounding
  3. 所属分类:编译器/词法分析

    • 发布日期:2008-10-13
    • 文件大小:32865
    • 提供者:yanyuntao
  1. 20068211814414818

    0下载:
  2. 设计十一 出租车自动计费器 1、 设计一个出租车自动计费器,具有行车里程计费、等候时间计费、及起价三部分,用四位数码管显示总金额,最大值为99。99元 -11 taxi design for an automatic billing, automatic design of a taxi meter, with traffic milestone billing, waiting time billing, and From t
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:162688
    • 提供者:cxh
  1. Verilog_Development_Board_Sources

    0下载:
  2. 朋友,我是Jawen.看到先前上载的一套CPLD开发板的VHDL源码挺受欢迎的,现在就将她的Verilog源码也一并贡献给大家:8位优先编码器,乘法器,多路选择器,二进制转BCD码,加法器,减法器,简单状态机,四位比较器,7段数码管,i2c总线,lcd液晶显示,拨码开关,串口,蜂鸣器,矩阵键盘,跑马灯,交通灯,数字时钟-friends, I Jawen. previously seen on the set of CPLD Develo
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:3152400
    • 提供者:Jawen
  1. multi4

    0下载:
  2. fulladder.vhd 一位全加器 adder.vhd 四位全加器 multi4.vhd 四位并行乘法器-fulladder.vhd a full adder adder.vhd four full adder mult i4.vhd four parallel multiplier
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1516
    • 提供者:杨奎元
  1. verilogled

    0下载:
  2. cpld-epm7128stc100-10驱动四位LED结果显示1234-cpld - epm7128stc100-10 drive four LED 1234 results
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:198295
    • 提供者:章风
  1. 51dianzizhong

    0下载:
  2. 程序名:在MCS51多功能实验板制作电子钟 电路介绍:由于实验板只有4位数码显示器,因此规定个位、十位显示秒信号;百位、千位显示分信号;p0口 的前四位和后四位LED显示时信号。-procedures Name : Multi-function experiments in MCS51 plate production of electronic circuits on bell : As experimental plate o
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:1754
    • 提供者:yang
  1. adder_4bit

    0下载:
  2. 四位加法器,用OrCAD完成,可用于八位乃至十六位加法器的设计原型-four adder with OrCAD completed, can be used for eight or even 16 Adder design prototype
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1361
    • 提供者:z9z9
  1. SCAN4

    0下载:
  2. 四位信号检测器,用OrCAD完成,用于输入信号与机内信号的监测比较-four signal detector, complete with OrCAD for the input signal and the signal for more monitoring
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1461
    • 提供者:z9z9
  1. myds18b20

    0下载:
  2. 本人自己的项目,用单片机控制DS18B20,并且在LCD(161)上进行显示,显示精度为小数点后四位,绝对能用,正在使用中-my own projects, using SCM control DS18B20. and the LCD (161) on the show, showing accuracy of four decimal places is absolutely can use, is to use!
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:37066
    • 提供者:幕幕
  1. shumaguanxianshi

    0下载:
  2. p0口为数码管的字形第四位为数码管控制端 键盘控制 p1低四位 控制 高四位扫描-p0 mouth of the digital characters for the fourth digital control-keyboard control p1 control high-low four four scanning
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:1466
    • 提供者:杜东亮
  1. C++.netBMP24bitTo8bit

    0下载:
  2. 此程序实现二十四位BMP到八位BMP图像的转换-this program to 24 BMP eight BMP image conversion
  3. 所属分类:图形图像处理(光照,映射..)

    • 发布日期:2008-10-13
    • 文件大小:44974
    • 提供者:xiaolong
  1. C51clockfile

    0下载:
  2. 这是一个C51的时钟程序源代码.程序用P0口输出段码,P1口输出位码,四位显示,用PC机校时.-This is a C51 Clock source code. Procedures used P0 mouth of the output code, P1-mouth output code, 4, PC-school.
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:4730
    • 提供者:邓力
  1. S3C2410_example

    0下载:
  2. ARM9系列,s3c2410实验代码,包括(LED显示实验,七段数码管实验,四位拨段开关实验)都在开发板上调试通过。初学值得一看,-ARM9 series s3c2410 experimental code, including (LED display experiment, paragraph 107 of digital tube experiments, four switches allocation of experimen
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:166301
    • 提供者:周清辉
  1. IOc51

    0下载:
  2. 用P1口,一位接按键输入,四位接LED输出显示一个四位二进制数,每次按键,二进制数加1 P1口用4*4键盘输入,P0口接1位数码管显示16个键,分别显示0—F 实现一位十进制计算器功能-with P1, an access key input, then four LED output showed a binary number four, each button. plus a few binary P1 mouth 4 *
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:841
    • 提供者:
  1. cchq

    0下载:
  2. 用嵌入式阵列(EAB)单元设计一个8×8的只读存储器(ROM),用来实现两个四位二进制数的相乘功能
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:4680
    • 提供者:吴乔红
  1. VOLTE2

    0下载:
  2. 简易数字电压表的设计2 利用单片机AT89S51与ADC0809设计一个数字电压表,能够测量0-5V之间的直流电压值,四位数码显示,但要求使用的元器件数目最少。-simple digital voltage meter design AT89S51 2 microprocessor design with a few Connection between ADC 0809 word voltage meter, capable of
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:90636
    • 提供者:何镇安
  1. TrainingCourse1

    0下载:
  2. EMC四位单片机的开发详解开发工具及开发例程PPT格式。-EMC four MCU Development Comments on the development of tools and routines PPT format.
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:2204674
    • 提供者:邓林强
  1. TrainingCourse2

    0下载:
  2. EMC四位机开发工具及例程PPT格式(下)-EMC four aircraft development tools and routines PPT format (2)
  3. 所属分类:WEB源码

    • 发布日期:2008-10-13
    • 文件大小:9917043
    • 提供者:邓林强
  1. TrainingCourse3

    0下载:
  2. EMC四位单片机开发工具及例程PPT格式(下)-EMC four MCU development tools and routines PPT format (2)
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:754490
    • 提供者:邓林强
  1. 4Display

    0下载:
  2. 四位时程序,用于时钟计数,从1点到12点计时,带小数点显示.-procedures for counting the clock from 1:00 to 12:00 time, the band showed decimal point.
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:3575
    • 提供者:张振武
« 1 2 3 4 5 67 8 9 10 11 ... 50 »

源码中国 www.ymcn.org