文件名称:Fpga clock modi

  • 所属分类:
  • 源码下载
  • 资源属性:
  • [HTML]
  • 上传时间:
  • 2012-06-26
  • 文件大小:
  • 2.17mb
  • 下载次数:
  • 0次
  • 提 供 者:
  • ssongmu@sina.com
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

改程序是利用Fpga描述的 数字闹钟 带有铃声设置和闹钟设置
(系统自动生成,下载前可以参看下载内容)

下载文件列表

压缩包 : FPGA_VHDL_Clock.zip 列表
Clock_modi/
Clock_modi/Clock.asm.rpt
Clock_modi/Clock.bdf
Clock_modi/Clock.done
Clock_modi/Clock.fit.rpt
Clock_modi/Clock.fit.smsg
Clock_modi/Clock.fit.summary
Clock_modi/Clock.flow.rpt
Clock_modi/Clock.map.rpt
Clock_modi/Clock.map.summary
Clock_modi/Clock.pin
Clock_modi/Clock.pof
Clock_modi/Clock.qpf
Clock_modi/Clock.qsf
Clock_modi/Clock.qws
Clock_modi/Clock.sim.rpt
Clock_modi/Clock.sof
Clock_modi/Clock.tan.rpt
Clock_modi/Clock.tan.summary
Clock_modi/Clock.vwf
Clock_modi/ClockBCD.bsf
Clock_modi/ClockBCD.vhd
Clock_modi/ClockBCD.vhd.bak
Clock_modi/ClockBCD.vwf
Clock_modi/ClockControl.bsf
Clock_modi/ClockControl.vhd
Clock_modi/ClockControl.vhd.bak
Clock_modi/ClockControl.vwf
Clock_modi/ClockDate.bsf
Clock_modi/ClockDate.vhd
Clock_modi/ClockDate.vhd.bak
Clock_modi/ClockDate.vwf
Clock_modi/ClockDisplay.bsf
Clock_modi/ClockDisplay.vhd
Clock_modi/ClockDisplay.vhd.bak
Clock_modi/ClockDisplay.vwf
Clock_modi/ClockFenWei.bsf
Clock_modi/ClockFenWei.vhd
Clock_modi/ClockFenWei.vhd.bak
Clock_modi/ClockState.bsf
Clock_modi/ClockState.vhd
Clock_modi/ClockState.vhd.bak
Clock_modi/ClockState.vwf
Clock_modi/ClockWork.bsf
Clock_modi/ClockWork.vhd
Clock_modi/ClockWork.vhd.bak
Clock_modi/ClockWork.vwf
Clock_modi/db/
Clock_modi/db/add_sub_2rh.tdf
Clock_modi/db/add_sub_3dc.tdf
Clock_modi/db/add_sub_3rh.tdf
Clock_modi/db/add_sub_4dc.tdf
Clock_modi/db/add_sub_5dc.tdf
Clock_modi/db/add_sub_5rh.tdf
Clock_modi/db/add_sub_6dc.tdf
Clock_modi/db/add_sub_6rh.tdf
Clock_modi/db/add_sub_7dc.tdf
Clock_modi/db/add_sub_7rh.tdf
Clock_modi/db/add_sub_8dc.tdf
Clock_modi/db/add_sub_8rh.tdf
Clock_modi/db/alt_u_div_0oe.tdf
Clock_modi/db/alt_u_div_2oe.tdf
Clock_modi/db/alt_u_div_3oe.tdf
Clock_modi/db/alt_u_div_6oe.tdf
Clock_modi/db/Clock.(0).cnf.cdb
Clock_modi/db/Clock.(0).cnf.hdb
Clock_modi/db/Clock.(1).cnf.cdb
Clock_modi/db/Clock.(1).cnf.hdb
Clock_modi/db/Clock.(10).cnf.cdb
Clock_modi/db/Clock.(10).cnf.hdb
Clock_modi/db/Clock.(11).cnf.cdb
Clock_modi/db/Clock.(11).cnf.hdb
Clock_modi/db/Clock.(12).cnf.cdb
Clock_modi/db/Clock.(12).cnf.hdb
Clock_modi/db/Clock.(13).cnf.cdb
Clock_modi/db/Clock.(13).cnf.hdb
Clock_modi/db/Clock.(14).cnf.cdb
Clock_modi/db/Clock.(14).cnf.hdb
Clock_modi/db/Clock.(15).cnf.cdb
Clock_modi/db/Clock.(15).cnf.hdb
Clock_modi/db/Clock.(16).cnf.cdb
Clock_modi/db/Clock.(16).cnf.hdb
Clock_modi/db/Clock.(17).cnf.cdb
Clock_modi/db/Clock.(17).cnf.hdb
Clock_modi/db/Clock.(18).cnf.cdb
Clock_modi/db/Clock.(18).cnf.hdb
Clock_modi/db/Clock.(19).cnf.cdb
Clock_modi/db/Clock.(19).cnf.hdb
Clock_modi/db/Clock.(2).cnf.cdb
Clock_modi/db/Clock.(2).cnf.hdb
Clock_modi/db/Clock.(20).cnf.cdb
Clock_modi/db/Clock.(20).cnf.hdb
Clock_modi/db/Clock.(21).cnf.cdb
Clock_modi/db/Clock.(21).cnf.hdb
Clock_modi/db/Clock.(22).cnf.cdb
Clock_modi/db/Clock.(22).cnf.hdb
Clock_modi/db/Clock.(23).cnf.cdb
Clock_modi/db/Clock.(23).cnf.hdb
Clock_modi/db/Clock.(24).cnf.cdb
Clock_modi/db/Clock.(24).cnf.hdb
Clock_modi/db/Clock.(25).cnf.cdb
Clock_modi/db/Clock.(25).cnf.hdb
Clock_modi/db/Clock.(26).cnf.cdb
Clock_modi/db/Clock.(26).cnf.hdb
Clock_modi/db/Clock.(27).cnf.cdb
Clock_modi/db/Clock.(27).cnf.hdb
Clock_modi/db/Clock.(28).cnf.cdb
Clock_modi/db/Clock.(28).cnf.hdb
Clock_modi/db/Clock.(29).cnf.cdb
Clock_modi/db/Clock.(29).cnf.hdb
Clock_modi/db/Clock.(3).cnf.cdb
Clock_modi/db/Clock.(3).cnf.hdb
Clock_modi/db/Clock.(30).cnf.cdb
Clock_modi/db/Clock.(30).cnf.hdb
Clock_modi/db/Clock.(31).cnf.cdb
Clock_modi/db/Clock.(31).cnf.hdb
Clock_modi/db/Clock.(4).cnf.cdb
Clock_modi/db/Clock.(4).cnf.hdb
Clock_modi/db/Clock.(5).cnf.cdb
Clock_modi/db/Clock.(5).cnf.hdb
Clock_modi/db/Clock.(6).cnf.cdb
Clock_modi/db/Clock.(6).cnf.hdb
Clock_modi/db/Clock.(7).cnf.cdb
Clock_modi/db/Clock.(7).cnf.hdb
Clock_modi/db/Clock.(8).cnf.cdb
Clock_modi/db/Clock.(8).cnf.hdb
Clock_modi/db/Clock.(9).cnf.cdb
Clock_modi/db/Clock.(9).cnf.hdb
Clock_modi/db/Clock.ace_cmp.bpm
Clock_modi/db/Clock.ace_cmp.cdb
Clock_modi/db/Clock.ace_cmp.ecobp
Clock_modi/db/Clock.ace_cmp.hdb
Clock_modi/db/Clock.asm.qmsg
Clock_modi/db/Clock.asm.rdb
Clock_modi/db/Clock.cbx.xml
Clock_modi/db/Clock.cmp.bpm
Clock_modi/db/Clock.cmp.cdb
Clock_modi/db/Clock.cmp.ecobp
Clock_modi/db/Clock.cmp.hdb
Clock_modi/db/Clock.cmp.kpt
Clock_modi/db/Clock.cmp.logdb
Clock_modi/db/Clock.cmp.rdb
Clock_modi/db/Clock.cmp.tdb
Clock_modi/db/Clock.cmp0.ddb
Clock_modi/db/Clock.cmp_merge.kpt
Clock_modi/db/Clock.db_info
Clock_modi/db/Clock.eco.cdb
Clock_modi/db/Clock.eds_overflow
Clock_modi/db/Clock.fit.qmsg
Clock_modi/db/Clock.fnsim.cdb
Clock_modi/db/Clock.fnsim.hdb
Clock_modi/db/Clock.fnsim.qmsg
Clock_modi/db/Clock.hier_info
Clock_modi/db/Clock.hif
Clock_modi/db/Clock.lpc.html
Clock_modi/db/Clock.lpc.rdb
Clock_modi/db/Clock.lpc.txt
Clock_modi/db/Clock.map.bpm
Clock_modi/db/Clock.map.cdb
Clock_modi/db/Clock.map.ecobp
Clock_modi/db/Clock.map.hdb
Clock_modi/db/Clock.map.kpt
Clock_modi/db/Clock.map.logdb
Clock_modi/db/Clock.map.qmsg
Clock_modi/db/Clock.map_bb.cdb
Clock_modi/db/Clock.map_bb.hdb
Clock_modi/db/Clock.map_bb.logdb
Clock_modi/db/Clock.pre_map.cdb
Clock_modi/db/Clock.pre_map.hdb
Clock_modi/db/Clock.rtlv.hdb
Clock_modi/db/Clock.rtlv_sg.cdb
Clock_modi/db/Clock.rtlv_sg_swap.cdb
Clock_modi/db/

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org