搜索资源列表

  1. 32addjiafaqi

    0下载:
  2. 32位加法器组成原理课程设计,串行进位完成,希望对大家有帮助
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:36847
    • 提供者:常鹏程
  1. ADD32

    0下载:
  2. DSP数字信号处理器的ADD32程序,32位加法器设计
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:3577
    • 提供者:李世民
  1. flowadd

    0下载:
  2. verilog编写的32位浮点加法器-32-bit Floating Point Addition Written in Verilog
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-03
    • 文件大小:1024
    • 提供者:张桓铭
  1. ripple-lookahead-carryselect-adder

    0下载:
  2. Ripple Adder: 16-bit 全加,半加及ripple adder的设计及VHDL程序 Carry Look ahead Adder:4, 16, 32 bits 前置进位加法器的设计方案及VHDL程序 Carry Select Adder:16 Bits 进位选择加法器的设计方案及VHDL程序-Ripple Adder : 16-bit full adder, semi-Canada and the ripple
  3. 所属分类:文档资料

    • 发布日期:2024-07-03
    • 文件大小:15360
    • 提供者:李成
  1. 32addjiafaqi

    0下载:
  2. 32位加法器组成原理课程设计,串行进位完成,希望对大家有帮助-32-bit adder composed of the principle of curriculum design, the serial binary completed, we hope to help
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-03
    • 文件大小:36864
    • 提供者:常鹏程
  1. add_16_bcd

    0下载:
  2. 此程序采用VHDL语言,完成在16位十六进制加法器的基础上将输出进行BCD码转换,实现输出是BCD码的16位二进制加法器-This procedure using VHDL language, completed in 16-bit hexadecimal adder based on output BCD code conversion, the realization of output is BCD code of 16 bina
  3. 所属分类:并行运算

    • 发布日期:2024-07-03
    • 文件大小:1024
    • 提供者:韩善华
  1. ADD32

    0下载:
  2. DSP数字信号处理器的ADD32程序,32位加法器设计-DSP digital signal processor ADD32 procedures, 32-bit adder design
  3. 所属分类:DSP编程

    • 发布日期:2024-07-03
    • 文件大小:4096
    • 提供者:李世民
  1. adder_32

    1下载:
  2. 超前进位加法器是通常数字设计所必备的,本程序为32位超前进位加法器-CLA is usually necessary for digital design, the procedure for 32-bit CLA
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-03
    • 文件大小:1024
    • 提供者:zhaohongliang
  1. OPERATION_UNIT

    0下载:
  2. 本程序为加密芯片内部加密运算单元部分,包括32位减法器、移位寄存器、加/减法器、寄存器等,对密码芯片运算部分设计具有一定指导意义-The procedure for encryption chip unit internal encryption algorithms, including 32-bit subtraction, and shift register, add/subtraction, and register and
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-03
    • 文件大小:2048
    • 提供者:zhaohongliang
  1. add(FLP)

    0下载:
  2. 一个32位元的浮点数加法器,可将两IEEE 754格式内的值进行相加-A 32-bit floating-point adder can be both within the IEEE 754 format to add value
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-03
    • 文件大小:10240
    • 提供者:TTJ
  1. myadd32

    0下载:
  2. 32位全程加法器,可以进行移位操作及多位多输入多输出加减法-32-bit full adder, shift operations can be carried out and a number of multiple-input multiple-output addition and subtraction
  3. 所属分类:中间件编程

    • 发布日期:2024-07-03
    • 文件大小:1024
    • 提供者:lwq
  1. adder32

    0下载:
  2. 原理图输入法制作的32位加法器-adder32
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-03
    • 文件大小:483328
    • 提供者:fanpei
  1. adder

    0下载:
  2. 本设计是做了一个32位超前进位加法器,能够快速计算-This design is made of a 32-bit lookahead adder, to quickly calculate
  3. 所属分类:其他小程序

    • 发布日期:2024-07-03
    • 文件大小:38912
    • 提供者:zhaozimou
  1. DDS

    0下载:
  2. 简易的直接式数字频率计(DDS) 32位加法器,32位寄存器,sin_rom表-Simple direct digital frequency meter (DDS) 32-bit adder, 32-bit registers, sin_rom Table
  3. 所属分类:软件工程

    • 发布日期:2024-07-03
    • 文件大小:1081344
    • 提供者:陈龙
  1. add32

    0下载:
  2. 32 位 加法器 设计-32bits Adder
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-03
    • 文件大小:24576
    • 提供者:arthur
  1. add32

    0下载:
  2. 32位加法器,基于vhdl语言,主要用于测试算法-32-bit adder, based on the vhdl language, mainly used for testing algorithms
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-03
    • 文件大小:2215936
    • 提供者:zhang
  1. add32

    0下载:
  2. 32位加法器,verilog实现,且有仿真图像-32-bit adder and programed by veilog
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-03
    • 文件大小:262144
    • 提供者:BOBO
  1. add32

    0下载:
  2. 32位加法器,可以对32位进行逻辑运算,并且带有测试程序-32-bit adder 32 can perform logical operations, and with the test procedures
  3. 所属分类:易语言编程

    • 发布日期:2024-07-03
    • 文件大小:4096
    • 提供者:annie
  1. pipeline_adder

    0下载:
  2. 用于快速计算32位加法,共分5级锁存器,4个8位加法器(pipeline_adder it helps you to add 32 bits swiftly if you need more information,may call me by the website account,it's really helpful)
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-03
    • 文件大小:79872
    • 提供者:Joker13213
  1. exp01_adc32

    0下载:
  2. 通过4位加法器实现32位加法器,使用串行进位的方式首先设计一个8位全加器,然后在8位全加器的基础上设计实现32位全加器(A 32 bit adder is implemented through a 4 bit adder. First, a 8 bit full adder is designed using serial carry. Then, a 32 bit full adder is designed on the basi
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-07-03
    • 文件大小:542720
    • 提供者:Dramazoey_wong
« 12 3 4 »

源码中国 www.ymcn.org