搜索资源列表

  1. 波浪型计数器

    0下载:
  2. 设计一个计数器,输入计数脉冲和清零信号,输出2位16进制计数值。计数器的计数规律如下:清零信号有效时输出0,计数脉冲上升沿时,输出由0递增到ff,再递减到1,然后在递增到fe,再递减到2,再递增,按如此规律反复计数。(A counter is designed, the count pulse and the zero signal are input, and the 2 - bit 16 - digit number is outp
  3. 所属分类:其他小程序

源码中国 www.ymcn.org