文件名称:经典游戏俄罗斯方块的FPGA实现
介绍说明--下载内容均来自于网络,请自行研究使用
实现俄罗斯方块游戏的FPGA实现,实现可视化功能(Use FPGA to create a Tetris game)
相关搜索: 基于FPGA的俄罗斯方块游戏
(系统自动生成,下载前可以参看下载内容)
下载文件列表
文件名 | 大小 | 更新时间 |
---|---|---|
Tetris\archive_project_summary.txt | 4740 | 2016-10-28 |
Tetris\Tetris.cache\compile_simlib\activehdl | 0 | 2016-10-28 |
Tetris\Tetris.cache\compile_simlib\ies | 0 | 2016-10-28 |
Tetris\Tetris.cache\compile_simlib\modelsim | 0 | 2016-10-28 |
Tetris\Tetris.cache\compile_simlib\questa | 0 | 2016-10-28 |
Tetris\Tetris.cache\compile_simlib\riviera | 0 | 2016-10-28 |
Tetris\Tetris.cache\compile_simlib\vcs | 0 | 2016-10-28 |
Tetris\Tetris.cache\wt\java_command_handlers.wdf | 1077 | 2016-10-28 |
Tetris\Tetris.cache\wt\project.wpc | 77 | 2016-10-28 |
Tetris\Tetris.cache\wt\synthesis.wdf | 5238 | 2016-10-28 |
Tetris\Tetris.cache\wt\synthesis_details.wdf | 100 | 2016-10-28 |
Tetris\Tetris.cache\wt\webtalk_pa.xml | 1675 | 2016-10-28 |
Tetris\Tetris.cache\wt\xsim.wdf | 256 | 2015-11-17 |
Tetris\Tetris.hw\hw_1\hw.xml | 927 | 2016-10-28 |
Tetris\Tetris.hw\hw_1\wave | 0 | 2016-10-28 |
Tetris\Tetris.hw\Tetris.lpr | 343 | 2016-10-28 |
Tetris\Tetris.hw\webtalk\.xsim_webtallk.info | 59 | 2016-10-28 |
Tetris\Tetris.hw\webtalk\labtool_webtalk.log | 373 | 2016-10-28 |
Tetris\Tetris.hw\webtalk\usage_statistics_ext_labtool.html | 2948 | 2016-10-28 |
Tetris\Tetris.hw\webtalk\usage_statistics_ext_labtool.xml | 2550 | 2016-10-28 |
Tetris\Tetris.ipdefs | 0 | 2016-10-28 |
Tetris\Tetris.ip_user_files | 0 | 2016-10-28 |
Tetris\Tetris.runs\impl_2\.init_design.begin.rst | 179 | 2016-10-28 |
Tetris\Tetris.runs\impl_2\.init_design.end.rst | 0 | 2016-10-28 |
Tetris\Tetris.runs\impl_2\.opt_design.begin.rst | 179 | 2016-10-28 |
Tetris\Tetris.runs\impl_2\.opt_design.end.rst | 0 | 2016-10-28 |
Tetris\Tetris.runs\impl_2\.place_design.begin.rst | 179 | 2016-10-28 |
Tetris\Tetris.runs\impl_2\.place_design.end.rst | 0 | 2016-10-28 |
Tetris\Tetris.runs\impl_2\.route_design.begin.rst | 179 | 2016-10-28 |
Tetris\Tetris.runs\impl_2\.route_design.end.rst | 0 | 2016-10-28 |
Tetris\Tetris.runs\impl_2\.vivado.begin.rst | 178 | 2016-10-28 |
Tetris\Tetris.runs\impl_2\.vivado.end.rst | 0 | 2016-10-28 |
Tetris\Tetris.runs\impl_2\.Vivado_Implementation.queue.rst | 0 | 2016-10-28 |
Tetris\Tetris.runs\impl_2\.write_bitstream.begin.rst | 179 | 2016-10-28 |
Tetris\Tetris.runs\impl_2\.write_bitstream.end.rst | 0 | 2016-10-28 |
Tetris\Tetris.runs\impl_2\.Xil\Vivado-6184-sh-dig-yhlong\.lpr | 290 | 2016-10-28 |
Tetris\Tetris.runs\impl_2\.Xil\Vivado-6184-sh-dig-yhlong\dcp\dcp.xml | 735 | 2015-11-18 |
Tetris\Tetris.runs\impl_2\.Xil\Vivado-6184-sh-dig-yhlong\dcp\tetris.edf | 7293676 | 2015-11-18 |
Tetris\Tetris.runs\impl_2\.Xil\Vivado-6184-sh-dig-yhlong\dcp\tetris.incr | 112 | 2015-11-18 |
Tetris\Tetris.runs\impl_2\.Xil\Vivado-6184-sh-dig-yhlong\dcp\tetris.psr | 0 | 2015-11-18 |
Tetris\Tetris.runs\impl_2\.Xil\Vivado-6184-sh-dig-yhlong\dcp\tetris.wdf | 4735 | 2015-11-18 |
Tetris\Tetris.runs\impl_2\.Xil\Vivado-6184-sh-dig-yhlong\dcp\tetris_stub.v | 714 | 2015-11-18 |
Tetris\Tetris.runs\impl_2\.Xil\Vivado-6184-sh-dig-yhlong\dcp\tetris_stub.vhdl | 745 | 2015-11-18 |
Tetris\Tetris.runs\impl_2\.Xil\Vivado-6184-sh-dig-yhlong\wt\project.wpc | 65 | 2016-10-28 |
Tetris\Tetris.runs\impl_2\gen_run.xml | 10023 | 2016-10-28 |
Tetris\Tetris.runs\impl_2\htr.txt | 381 | 2016-10-28 |
Tetris\Tetris.runs\impl_2\init_design.pb | 2333 | 2016-10-28 |
Tetris\Tetris.runs\impl_2\ISEWrap.js | 7308 | 2016-10-28 |
Tetris\Tetris.runs\impl_2\ISEWrap.sh | 1720 | 2016-10-28 |
Tetris\Tetris.runs\impl_2\opt_design.pb | 5306 | 2016-10-28 |
Tetris\Tetris.runs\impl_2\place_design.pb | 16913 | 2016-10-28 |
Tetris\Tetris.runs\impl_2\project.wdf | 3733 | 2016-10-28 |
Tetris\Tetris.runs\impl_2\route_design.pb | 15044 | 2016-10-28 |
Tetris\Tetris.runs\impl_2\rundef.js | 1374 | 2016-10-28 |
Tetris\Tetris.runs\impl_2\runme.bat | 229 | 2016-10-28 |
Tetris\Tetris.runs\impl_2\runme.log | 27351 | 2016-10-28 |
Tetris\Tetris.runs\impl_2\runme.sh | 1219 | 2016-10-28 |
Tetris\Tetris.runs\impl_2\tetris.bit | 2192114 | 2016-10-28 |
Tetris\Tetris.runs\impl_2\tetris.tcl | 4314 | 2016-10-28 |
Tetris\Tetris.runs\impl_2\tetris.vdi | 27302 | 2016-10-28 |
Tetris\Tetris.runs\impl_2\tetris_6184.backup.vdi | 1659 | 2016-10-28 |
Tetris\Tetris.runs\impl_2\tetris_clock_utilization_routed.rpt | 15152 | 2016-10-28 |
Tetris\Tetris.runs\impl_2\tetris_control_sets_placed.rpt | 3977 | 2016-10-28 |
Tetris\Tetris.runs\impl_2\tetris_drc_opted.rpt | 1744 | 2016-10-28 |
Tetris\Tetris.runs\impl_2\tetris_drc_routed.pb | 37 | 2016-10-28 |
Tetris\Tetris.runs\impl_2\tetris_drc_routed.rpt | 1770 | 2016-10-28 |
Tetris\Tetris.runs\impl_2\tetris_io_placed.rpt | 61498 | 2016-10-28 |
Tetris\Tetris.runs\impl_2\tetris_opt.dcp | 646093 | 2016-10-28 |
Tetris\Tetris.runs\impl_2\tetris_placed.dcp | 1055436 | 2016-10-28 |
Tetris\Tetris.runs\impl_2\tetris_power_routed.rpt | 7900 | 2016-10-28 |
Tetris\Tetris.runs\impl_2\tetris_power_routed.rpx | 1265671 | 2016-10-28 |
Tetris\Tetris.runs\impl_2\tetris_power_summary_routed.pb | 723 | 2016-10-28 |
Tetris\Tetris.runs\impl_2\tetris_routed.dcp | 1562550 | 2016-10-28 |
Tetris\Tetris.runs\impl_2\tetris_route_status.pb | 44 | 2016-10-28 |
Tetris\Tetris.runs\impl_2\tetris_route_status.rpt | 588 | 2016-10-28 |
Tetris\Tetris.runs\impl_2\tetris_timing_summary_routed.rpt | 113027 | 2016-10-28 |
Tetris\Tetris.runs\impl_2\tetris_timing_summary_routed.rpx | 96163 | 2016-10-28 |
Tetris\Tetris.runs\impl_2\tetris_utilization_placed.pb | 249 | 2016-10-28 |
Tetris\Tetris.runs\impl_2\tetris_utilization_placed.rpt | 9296 | 2016-10-28 |
Tetris\Tetris.runs\impl_2\usage_statistics_webtalk.html | 27335 | 2016-10-28 |
Tetris\Tetris.runs\impl_2\usage_statistics_webtalk.xml | 38927 | 2016-10-28 |
Tetris\Tetris.runs\impl_2\vivado.jou | 635 | 2016-10-28 |
Tetris\Tetris.runs\impl_2\vivado.pb | 149 | 2016-10-28 |
Tetris\Tetris.runs\impl_2\vivado_6184.backup.jou | 635 | 2016-10-28 |
Tetris\Tetris.runs\impl_2\write_bitstream.pb | 3103 | 2016-10-28 |
Tetris\Tetris.runs\synth_1\.vivado.begin.rst | 178 | 2016-10-28 |
Tetris\Tetris.runs\synth_1\.vivado.end.rst | 0 | 2016-10-28 |
Tetris\Tetris.runs\synth_1\.Vivado_Synthesis.queue.rst | 0 | 2016-10-28 |
Tetris\Tetris.runs\synth_1\.Xil\tetris_propImpl.xdc | 3380 | 2016-10-28 |
Tetris\Tetris.runs\synth_1\fsm_encoding.os | 205 | 2016-10-28 |
Tetris\Tetris.runs\synth_1\gen_run.xml | 6505 | 2016-10-28 |
Tetris\Tetris.runs\synth_1\htr.txt | 373 | 2016-10-28 |
Tetris\Tetris.runs\synth_1\ISEWrap.js | 7308 | 2016-10-28 |
Tetris\Tetris.runs\synth_1\ISEWrap.sh | 1720 | 2016-10-28 |
Tetris\Tetris.runs\synth_1\rundef.js | 1303 | 2016-10-28 |
Tetris\Tetris.runs\synth_1\runme.bat | 229 | 2016-10-28 |
Tetris\Tetris.runs\synth_1\runme.log | 46327 | 2016-10-28 |
Tetris\Tetris.runs\synth_1\runme.sh | 1156 | 2016-10-28 |
Tetris\Tetris.runs\synth_1\tetris.dcp | 602834 | 2016-10-28 |
Tetris\Tetris.runs\synth_1\tetris.tcl | 1845 | 2016-10-28 |